Altera Quartus II Scripting User Manual

Quartus II Scripting Reference Manual
For Command-Line Operation & Tool Command Language (Tcl) Scripting
101 Innovation Drive San Jose, CA 95134
www.altera.com
MNL-Q2101904-9.1.1
Copyright © 2013 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending ap­plications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services
.
MNL-Q2101904-9.1.1

Contents

About this Reference Manual
Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvii
How to Contact Altera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvii
Typographic Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xviii
Chapter 1. Introduction to the Quartus II Scripting Reference Manual
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1
Quartus II Software Command-Line Operation Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1
Quartus II Software Tcl Scripting Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–2
Command-Line Executables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–2
Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–5
Related Documentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–7
Chapter 2. Command-line Executables
quartus_asm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–1
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–1
quartus_cdb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2
--back_annotate=<demotion type> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–4
--bottom_up_scripts_output_directory[=<value>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–4
--bottom_up_scripts_virtual_input_pin_delay[=<value>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–5
--bottom_up_scripts_virtual_output_pin_delay[=<value>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–5
--create_companion[=<companion revision>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–5
--disable_auto_global_promotion_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–6
--export_database=<directory> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–6
--generate_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–6
--generate_hc_files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–7
--generate_hc_pll_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–7
--hc_archive[=<output file>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–8
--hc_min_archive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–8
--hc_ready . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–8
--hc_review . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–9
--import_database=<directory> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–9
--include_all_logiclock_regions_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_design_partitions_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_global_signal_promotion_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_logiclock_regions_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_makefiles_with_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_pin_locations_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_project_creation_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_timing_assignments_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_virtual_input_pin_timing_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_virtual_output_pin_timing_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . 2–12
--include_virtual_pin_locations_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–12
--include_virtual_pins_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–12
--incremental_compilation_export[=<.qxp file>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–12
--incremental_compilation_export_netlist_type=<POST_SYNTH|POST_FIT> . . . . . . . . . . . . . . . 2–13
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
iv Contents
--incremental_compilation_export_partition_name[=<name>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–13
--incremental_compilation_export_routing[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–13
--incremental_compilation_import[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–13
--merge[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–13
--mif_dependency=<mif_check> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–13
--netlist_type=<map|cmp|asm> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–14
--override_partition_netlist_type=<value> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–14
--post_map[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–14
--remove_existing_regions_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–14
--update_mif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–15
--vqm[=<.vqm file>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–15
--write_eqn_file[=<.eqn file>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–15
--write_rcf_for_vqm[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–15
quartus_cpf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–16
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–16
-a=<hexadecimal number> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-d=<device name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-g=<voltage> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-k=<filename and key id> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-m=<PS|AP|FPP|PPA|PPS> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-n=<pb|v|p> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-o=<filename> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–17
-q=<frequency with units> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
-s=<device name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
-u=<up|down> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
-w . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
--configuration_mode=<PS|AP|FPP|PPA|PPS> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
--convert . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
--count_dir=<up|down> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
--device=<device name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–18
--frequency=<frequency with units> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–19
--key=<filename and key id> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–19
--operation=<pb|v|p> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–19
--option=<filename> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–19
--sfl_device=<device name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–20
--start_address=<hexadecimal number> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–20
--voltage=<voltage> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–20
--write . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–20
design_security . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–20
hexout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–21
isc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–21
jam . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–22
jbc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–22
jic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–22
pof . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–22
rbf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–23
rpd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–23
svf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–23
ttf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–24
quartus_drc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–25
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–25
--hc[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–26
--post_fit[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–26
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Contents v
--post_syn[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–26
--rtl[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–26
quartus_eda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–27
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–27
--board_boundary_scan[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–28
--board_signal_integrity[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–29
--board_symbol[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–29
--board_timing[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–29
--formal_verification[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–29
--format=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–29
--gen_script=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–30
--gen_testbench . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–30
--glitch_filtering[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–30
--output_directory=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–30
--resynthesis[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–30
--simulation[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–31
--timing_analysis[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–31
--tool=<3rd-party eda tool> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–31
--user_compiled_simlib_dir=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–33
--vcd_tb_design_instance_name=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–33
--vcd_type=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–33
quartus_fit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–34
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–34
--check_ios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–35
--check_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–35
--early_timing_estimate[=<realistic|optimistic|pessimistic>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–35
--effort=<standard|fast|auto> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–35
--fmax=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–36
--incremental_signaltap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–36
--inner_num=<value> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–36
--io_smart_recompile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–37
--one_fit_attempt[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–37
--optimize_io_register_for_timing[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–37
--pack_register=<off|normal|minimize_area|minimize_area_with_chains|auto> . . . . . . . . . . . 2–37
--part=<device part> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–37
--seed=<value> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–38
--signalprobe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–38
--tco=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–38
--tdc[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–38
--tpd=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–39
--tsu=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–39
quartus_jbcc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–41
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–41
-c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–41
-d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–41
--compress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–41
--debug . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–41
quartus_jli . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–42
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–42
-a=<action to perform> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . 2–42
-c=<jtagserver cable number> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
-d=<procedure to disable> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
-e=<procedure to enable> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
-i . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
vi Contents
-j . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
-l . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
-n . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
--action=<action to perform> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
--cable=<jtagserver cable number> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
--disable=<procedure to disable> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
--dont_reset_jtag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
--enable=<procedure to enable> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–43
--enumerate_hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–44
--info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–44
--loquacious . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–44
quartus_map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–45
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–45
-l=<path> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–46
--analysis_and_elaboration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–46
--analyze_file=<design file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–46
--convert_bdf_to_verilog=<.bdf file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–46
--convert_bdf_to_vhdl=<.bdf file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–46
--effort=<auto|fast> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–46
--enable_wysiwyg_resynthesis[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–46
--family=<device family> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--generate_cmp_file=<design file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--generate_functional_sim_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--generate_inc_file=<design file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--generate_inst_file=<design file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--generate_symbol=<design file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--ignore_carry_buffers[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--ignore_cascade_buffers[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--incremental_compilation=<off|full_incremental_compilation> . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–47
--lib_path=<path> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–48
--optimize=<area|speed|balanced> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–48
--parallel[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–48
--part=<device> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–48
--partition=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–48
--source=<source file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–49
--state_machine_encoding=<auto|minimal_bits|one_hot|user_encoded> . . . . . . . . . . . . . . . . . . 2–49
--update_wysiwyg_parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–49
--verilog_macro=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–49
quartus_pgm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–50
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–50
-a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
-b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
-c=<cable name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
-i . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
-l . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
-m=<programming mode> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
-o=<programming operation> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
-z . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
--auto . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
--bgp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
--cable=<cable name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–51
--haltcc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–52
--initcfg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–52
--list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–52
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Contents vii
--mode=<programming mode> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–52
--operation=<programming operation> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–52
quartus_pow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–54
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–54
--default_input_io_toggle_rate=<toggle rate value> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–55
--default_toggle_rate=<toggle rate value> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–55
--estimate_power[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–55
--input_saf[=<SAF Filename>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–55
--input_vcd[=<VCD Filename>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–56
--no_input_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–56
--output_epe=<EPE Filename> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–56
--output_saf=<SAF Filename> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–56
--use_vectorless_estimation[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–56
--vcd_filter_glitches[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–57
--voltage=<value_in_mV> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–57
quartus_sh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–58
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–58
--archive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–59
--determine_smart_action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–60
--dse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–61
--dtw . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–65
--flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–65
--prepare . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–66
--qboard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–66
--qhelp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–66
--qinstall . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–66
--qslave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–67
--relcon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–68
--restore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–68
--set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–69
--simlib_comp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–69
quartus_si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–72
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–72
-g[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–72
--bank=<bank index> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–73
--grouping[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–73
--sso_inputs[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–73
quartus_sim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–74
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–74
-c=<revision name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--cell_delay_model_type=<transport|inertial> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--check_outputs[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--interconnect_delay_model_type=<transport|inertial> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--memory_limiter[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--mode=<functional|timing|timing_using_fast_timing_model> . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--overwrite_waveform[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--perform_glitch_filtering=<auto|always|never> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--power_vcd_output=<target file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . 2–76
--pvt_multicorner[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–76
--pvt_temperature=<value_in_C> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--pvt_timing_model_type=<slow|fast> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--pvt_voltage=<value_in_mV> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--rev=<revision name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--saf_output=<target file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–77
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
viii Contents
--simulation_results_format=<VWF|CVWF|VCD> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--vector_comparison_rule_value_0=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--vector_comparison_rule_value_1=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--vector_comparison_rule_value_dc=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . 2–77
--vector_comparison_rule_value_h=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . 2–77
--vector_comparison_rule_value_l=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . . 2–78
--vector_comparison_rule_value_u=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . 2–78
--vector_comparison_rule_value_w=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . 2–78
--vector_comparison_rule_value_x=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . 2–78
--vector_comparison_rule_value_z=<0,1,X,L,H,W,Z,U and/or DC> . . . . . . . . . . . . . . . . . . . . . . . . 2–78
--vector_source=<vector source file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–78
quartus_sta . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–79
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–79
--do_report_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–80
--force_dat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–80
--model=<fast|slow> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–80
--multicorner[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–80
--post_map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–80
--qsf2sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–80
--report_script=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–81
--sdc=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–81
--speed=<NONE> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–81
--temperature=<value_in_C> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–81
--tq2hc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–81
--tq2pt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–82
--voltage=<value_in_mV> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–82
quartus_stp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–83
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–83
-c=<revision name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–84
-d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–84
-e . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–84
--create_signaltap_hdl_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–84
--disable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–85
--enable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–85
--lai_file=<.lai file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–85
--logic_analyzer_interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–85
--rev=<revision name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–85
--signaltap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–85
--stp_file=<.stp> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–85
quartus_tan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–86
Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–86
--check_constraints[=<setup|hold|both>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–88
--combined_model[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–88
--create_timing_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–88
--datasheet[=<output file name>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–88
--delay_annotation_only . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–88
--do_min_analysis[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–89
--dump_atom_generated_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–89
--fast_model[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–89
--fmax=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . 2–89
--post_map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–89
--speed=<device speed grade> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–89
--tao[=<.tao file>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–90
--tao_summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–90
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Contents ix
--tco=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–90
--th=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–90
--timing_analysis_only . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–90
--tpd=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–91
--tristate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–91
--tsu=<time unit> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–91
--zero_ic_delays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–91
Common Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–92
-f=<argument file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–92
-h . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–92
-v . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–92
--64bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–92
--help[=<option|topic>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–93
--lower_priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–93
--version . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–93
arguments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–93
makefiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–93
return_codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–95
Compiler Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–96
-c=<revision name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–96
--rev=<revision name> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–96
--set=<assignment=value> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–96
Parallel Processing Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–97
-p[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–97
--parallel[=<num_processors>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–97
Settings File Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–98
--read_settings_files[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–98
--write_settings_files[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–100
Tcl Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–102
-s . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–102
-t=<script file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–102
--script=<script file> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–102
--shell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–102
--tcl_eval=<tcl command> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–103
Tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–103
Chapter 3. Tcl Packages & Commands
advanced_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–11
create_p2p_delays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–12
get_clock_delay_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–13
get_delay_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–14
get_delays_from_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–15
get_delays_from_keepers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–16
get_illegal_delay_value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–17
get_max_delay_value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–18
get_timing_edge_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–19
get_timing_edge_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–20
get_timing_edges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–21
get_timing_node_fanin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–22
get_timing_node_fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–23
get_timing_node_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–24
get_timing_nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–26
is_legal_delay_value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–27
p2p_timing_cut_exist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–28
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
x Contents
backannotate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–29
get_back_annotation_assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–30
logiclock_back_annotate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–31
chip_planner . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–33
add_new_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–35
add_new_io . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–36
add_usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–37
apply_command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–38
check_netlist_and_save . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–39
check_node . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–40
close_chip_planner . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–41
connect_chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–42
convert_signal_probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–43
create_migrated_script . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–44
delete_sp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–45
design_has_ace_support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–46
design_has_encrypted_ip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–47
disable_sp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–48
discard_all_changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–49
discard_node_changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–50
enable_sp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–51
export_stack_to . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–52
get_info_parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–53
get_iports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–54
get_node_by_name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–55
get_node_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–56
get_node_loc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–57
get_nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–58
get_oports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–59
get_port_by_type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–60
get_port_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–61
get_sp_pin_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–62
get_stack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–63
get_tile_power_setting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–64
list_sps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–65
make_ape_connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–66
make_input_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–67
make_output_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–68
make_sp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–69
read_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–70
remove_ape_connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–71
remove_chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–72
remove_input_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–73
remove_old_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–74
remove_output_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–75
remove_usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–76
routing_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–77
set_batch_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–78
set_node_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–79
set_port_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–80
set_tile_power_setting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . 3–81
undo_command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–82
update_node_loc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–83
database_manager . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–84
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Contents xi
export_database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–85
generate_bottom_up_scripts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–86
import_database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–90
device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–91
get_family_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–92
get_part_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–93
get_part_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–94
report_device_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–95
report_family_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–96
report_part_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–97
flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–98
execute_flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–99
execute_hc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–101
execute_module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–103
incremental_compilation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–104
auto_partition_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–105
create_partition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–107
delete_all_logiclock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–108
delete_all_partitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–109
delete_logiclock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–110
delete_partition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–111
export_partition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–112
get_logiclock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–113
get_logiclock_contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–114
get_partition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–115
get_partition_file_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–116
import_partition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–117
partition_netlist_exists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–119
set_logiclock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–120
set_logiclock_contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–121
set_partition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–123
insystem_memory_edit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–124
begin_memory_edit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–125
end_memory_edit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–126
get_editable_mem_instances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–127
read_content_from_memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–128
save_content_from_memory_to_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–129
update_content_to_memory_from_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–130
write_content_to_memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–131
insystem_source_probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–132
end_insystem_source_probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–133
get_insystem_source_probe_instance_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–134
read_probe_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–135
read_source_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–136
start_insystem_source_probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–137
write_source_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–138
iptclgen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–139
compute_pll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–140
generate_vhdl_simgen_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–141
parse_hdl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . 3–142
parse_tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–143
jtag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–144
close_device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–145
device_dr_shift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–146
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
xii Contents
device_ir_shift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–148
device_lock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–150
device_run_test_idle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–151
device_unlock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–152
device_virtual_dr_shift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–153
device_virtual_ir_shift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–155
get_device_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–157
get_hardware_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–158
open_device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–159
logic_analyzer_interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–160
begin_logic_analyzer_interface_control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–161
change_bank_to_output_pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–162
end_logic_analyzer_interface_control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–163
get_current_state_of_output_pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–164
tristate_output_pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–165
misc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–166
checksum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–167
disable_natural_bus_naming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–168
enable_natural_bus_naming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–169
escape_brackets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–170
foreach_in_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–172
get_collection_size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–175
get_environment_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–176
init_tk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–177
load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–178
load_package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–179
post_message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–180
qexec . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–181
qexit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–182
stopwatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–183
project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–184
assignment_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–186
create_revision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–188
delete_revision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–189
execute_assignment_batch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–190
export_assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–191
get_all_assignment_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–192
get_all_assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–193
get_all_global_assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–196
get_all_instance_assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–198
get_all_parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–200
get_all_quartus_defaults . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–202
get_all_user_option_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–204
get_assignment_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–205
get_assignment_name_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–206
get_current_revision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–207
get_global_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–208
get_instance_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–209
get_location_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–210
get_name_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–211
get_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–213
get_parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–215
get_project_directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–216
get_project_revisions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–217
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Contents xiii
get_top_level_entity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–218
get_user_option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–219
is_project_open . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–220
project_archive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–221
project_close . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–222
project_exists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–223
project_new . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–224
project_open . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–225
project_restore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–226
remove_all_global_assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–227
remove_all_instance_assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–229
remove_all_parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–231
resolve_file_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–233
revision_exists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–234
set_current_revision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–235
set_global_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–236
set_instance_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–237
set_io_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–239
set_location_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–240
set_parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–241
set_power_file_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–243
set_user_option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–245
test_assignment_trait . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–246
report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–247
add_row_to_table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–248
create_report_panel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–249
delete_report_panel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–251
get_fitter_resource_usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–253
get_number_of_columns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–254
get_number_of_rows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–255
get_report_panel_column_index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–256
get_report_panel_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–257
get_report_panel_id . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–259
get_report_panel_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–260
get_report_panel_row . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–261
get_report_panel_row_index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–262
get_timing_analysis_summary_results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–263
load_report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–264
read_xml_report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–265
save_report_database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–266
unload_report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–267
write_report_panel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–268
write_xml_report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–270
rtl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–271
get_rtl_cell_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–272
get_rtl_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–273
get_rtl_fanins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–275
get_rtl_fanouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–276
get_rtl_pin_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–277
get_rtl_pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . 3–278
load_rtl_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–280
unload_rtl_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–281
sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–282
all_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–283
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
xiv Contents
all_inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–284
all_outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–285
all_registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–286
create_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–287
create_generated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–288
derive_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–290
get_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–291
get_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–293
get_nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–294
get_pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–295
get_ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–297
remove_clock_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–298
remove_clock_latency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–299
remove_clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–300
remove_disable_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–301
remove_input_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–302
remove_output_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–303
reset_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–304
set_clock_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–305
set_clock_latency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–306
set_clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–308
set_disable_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–309
set_false_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–310
set_input_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–312
set_input_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–314
set_max_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–315
set_min_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–317
set_multicycle_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–319
set_output_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–321
sdc_ext . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–323
derive_clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–324
derive_pll_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–325
get_assignment_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–326
get_fanins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–327
get_fanouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–328
get_keepers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–329
get_nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–330
get_partitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–331
get_registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–332
remove_annotated_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–333
remove_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–334
reset_timing_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–335
set_active_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–336
set_annotated_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–337
set_max_skew . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–338
set_net_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–340
set_scc_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–341
set_time_format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–342
set_timing_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–343
simulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–344
compare_vector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–346
convert_vector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–350
create_simulation_breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–351
delete_all_simulation_breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–352
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Contents xv
delete_simulation_breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–353
disable_all_simulation_breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–354
disable_simulation_breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–355
enable_all_simulation_breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–356
enable_simulation_breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–357
fast_write_to_simulation_memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–358
force_simulation_value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–359
get_simulation_memory_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–361
get_simulation_time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–362
get_simulation_value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–363
group_simulation_signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–364
initialize_simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–365
partition_vector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–367
read_from_simulation_memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–368
release_simulation_value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–369
run_simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–370
set_simulation_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–371
write_to_simulation_memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–372
sta . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–373
add_to_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–376
check_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–377
create_report_histogram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–379
create_slack_histogram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–381
create_timing_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–383
create_timing_summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–385
delete_timing_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–386
enable_ccpp_removal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–387
enable_sdc_extension_collections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–388
get_available_operating_conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–389
get_cell_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–390
get_clock_domain_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–391
get_clock_fmax_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–392
get_clock_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–393
get_datasheet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–395
get_default_sdc_file_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–397
get_edge_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–398
get_edge_slacks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–399
get_min_pulse_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–400
get_net_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–401
get_node_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–402
get_object_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–403
get_operating_conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–404
get_operating_conditions_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–405
get_partition_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–406
get_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–407
get_path_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–409
get_pin_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–412
get_point_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . 3–413
get_port_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–416
get_register_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–417
get_timing_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–418
locate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–421
query_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–423
read_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–424
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
xvi Contents
remove_from_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–425
report_advanced_io_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–426
report_bottleneck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–427
report_clock_fmax_summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–429
report_clock_transfers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–430
report_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–431
report_datasheet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–432
report_ddr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–433
report_exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–434
report_max_skew . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–438
report_metastability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–441
report_min_pulse_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–444
report_net_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–446
report_net_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–447
report_partitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–448
report_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–449
report_rskm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–451
report_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–452
report_skew . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–453
report_tccs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–456
report_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–457
report_ucp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–461
set_operating_conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–462
timing_netlist_exist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–463
update_timing_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–464
use_timequest_style_escaping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–465
write_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–466
stp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–467
close_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–468
open_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–469
run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–470
run_multiple_end . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–471
run_multiple_start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–472
stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–473
timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–474
compute_slack_on_edges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–475
create_timing_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–476
delete_timing_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–478
remove_timing_tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–479
report_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–480
timing_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–484
create_base_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–485
create_relative_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–487
get_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–489
set_clock_latency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–490
set_clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–492
set_input_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–494
set_multicycle_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–496
set_output_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–498
set_timing_cut_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–500
timing_report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–502
list_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3–503
Quartus II Scripting Reference Manual © July 2013 Altera Corporation

About this Reference Manual

This manual provides comprehensive information about the Altera® Quartus®II software Command-Line operation and Tcl language scripting.

Revision History

The following table shows the revision history for this manual.
Date and
Document Version Changes Made Summary of Changes
July 2013, v9.1.1 December 2009, v9.1 March 2009, v9.0
November 2008, v8.2
July 2008, v8.1
Reposted manual to fix browser issue
Updated for Quartus II version 9.1
Updated for Quartus II version 9.0
Updated for Quartus II version 8.1
Added Revision History to this reference manual
Removed Index section
Updated new document template
Updated for Quartus II version 8.0

How to Contact Altera

For the most up-to-date information about Altera® products, see the following table.
Contact (Note 1)
Technical support Website www.altera.com/support
Technical training
Product Literature Website www.altera.com/literature
Altera literature services Email literature@altera.com
Non-technical support (General) Email nacomp@altera.com
(Software Licensing) Email authorization@altera.com
Note:
(1) You can also contact your local Altera sales office or sales representative.
Contact Method Address
Website www.altera.com/training
Email custrain@altera.com
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
xviii About this Reference Manual

Typographic Conventions

Typographic Conventions
The following table shows the typographic conventions that this document uses.
Visual Cue Meaning
Bold Type with Initial Capital Letters
bold type
Italic Type with Initial Capital Letters
Italic type
Initial Capital Letters
“Subheading Title”
Courier type
1., 2., 3., and a., b., c., etc.
Bullets are used in a list of items when the sequence of the items is not important.
v The checkmark indicates a procedure that consists of one step only. 1 The hand points to information that requires special attention.
c
w
r The angled arrow indicates you should press the Enter key. f The feet direct you to more information on a particular topic.
Command names, dialog box titles, checkbox options, and dialog box options are shown in bold, initial capital letters. Example: Save As dialog box.
External timing parameters, directory names, project names, disk drive names, file names, file name extensions, and software utility names are shown in bold type. Examples: f
, \qdesigns directory, d: drive, chiptrip.gdf file.
MAX
Document titles are shown in italic type with initial capital letters. Example: AN 75: High-Speed Board Design.
Internal timing parameters and variables are shown in italic type.
Examples: t
PIA
, n + 1.
Variable names are enclosed in angle brackets (< >) and shown in italic type. Example: <file name>, <project name>.pof file.
Keyboard keys and menu names are shown with initial capital letters. Examples: Delete key, the Options menu.
References to sections within a document and titles of on-line help topics are shown in quotation marks. Example: “Typographic Conventions.”
Signal and port names are shown in lowercase Courier type. Examples:
input. Active-low signals are denoted by suffix n, e.g., resetn.
data1, tdi,
Anything that must be typed exactly as it appears is shown in Courier type. For exam­ple:
c:\qdesigns\tutorial\chiptrip.gdf. Also, sections of an actual file,
such as a Report File, references to parts of files (e.g., the AHDL keyword
SIGN
), as well as logic function names (e.g., TRI) are shown in Courier.
SUBDE-
Numbered steps are used in a list of items when the sequence of the items is impor­tant, such as the steps listed in a procedure.
A caution calls attention to a condition or possible situation that can damage or destroy the product or the user’s work.
A warning calls attention to a condition or possible situation that can cause injury to the user.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation

Introduction

Overview

1. Introduction to the Quartus II Scripting Reference Manual

The Quartus®II design software provides the FPGA industry’s easiest-to-use and most powerful scripting environment available for command-line operation and tool command language (Tcl) scripting. This scripting environment is offered in addition to the Quartus II development software rich graphical user interface (GUI).
This overview covers the Quartus II design software support for command-line operation and Tcl scripting.
Each stage of the Quartus II software design flow corresponds to a command-line executable file. Many of these executable files also support industry-standard Tcl scripting for custom functionality or processing beyond the GUI design flow. Quartus II design software offers the following scripting support benefits, also known as CAR:
Custom Analysis
Automation
Reproducibility
Custom analysis allows you to build test procedures into the script and change design processing based on the test results. Scripts can automate design flows to perform on multiple computers simultaneously and easily archive and restore projects. Reproducibility ensures that scripts use the same project setup and assignments for every compile, even when you transfer a project from one engineer to another. In other words, you can use scripts as another level of design quality assurance.
The Quartus II Scripting Reference Manual is your reference guide to Quartus II software command-line executables and Tcl commands, including command details, usage, and examples.
All of the information included in the Quartus II Scripting Reference Manual, as well as the most up-to-date list of commands, can also be found in the Quartus II software Tcl API and command-line executable online help reference, Qhelp. To access this information within Quartus II design software, type the following command at the command prompt:
quartus_sh --qhelp r

Quartus II Software Command-Line Operation Support

Use command-line operation for:
Scripting simple design flows
Compiling existing projects
Making global project assignments
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
1–2 Introduction to the Quartus II Scripting Reference Manual
Incorporating third-party EDA executable files
Makefile operation
Overview
You can also group commands for Quartus II executable files into a script, batch file, or in a makefile to automate design flows. The Quartus II software command-line executables accept arguments to set project variables and access common settings.

Quartus II Software Tcl Scripting Support

Use Tcl scripting for:
Solving complex analysis
Making individual assignments
Generating custom reports
Creating custom solutions
Tcl is an EDA industry-standard scripting language used by Synopsys, Mentor Graphics
®
, Synplicity, Altera, and others. The Tcl language supports control structures, variables, procedures, network socket access, and application programming interfaces (APIs). Altera's Tcl support is aligned with major EDA vendor solutions. It has an API format similar to the Synopsys design constraint (SDC) format used by the Synopsys PrimeTime and Design Compiler products.

Command-Line Executables

Quartus II software provides command-line executables for each stage in the design flow. The software also provides additional executables for specific tasks.
Tab le 1 details the command-line executables and their respective descriptions.
Table 1. Quartus II Command-Line Executables and Descriptions (Part 1 of 3)
Executable Description
Quartus II Analysis and Synthesis builds a single project database that integrates all the Analysis and Synthesis quartus_map
Fitter quartus_fit
design files in a design entity or project hierarchy, performs logic synthesis to minimize the
logic of the design, and performs technology mapping to implement the design logic using
device resources such as logic elements.
The Quartus II Fitter performs place-and-route by fitting the logic of a design into a device.
The Fitter selects appropriate interconnection paths, pin assignments, and logic cell
assignments.
Quartus II Analysis and Synthesis must be run successfully before running the Fitter.
The Quartus II SSN Analyzer estimates the simultaneous switching noise contributions to Signal Integrity
quartus_si
voltage and timing noise.
Quartus II Analysis & Synthesis and the Fitter must be run successfully before running the
SSN Analyzer.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Introduction to the Quartus II Scripting Reference Manual 1–3
Overview
Table 1. Quartus II Command-Line Executables and Descriptions (Part 2 of 3)
Executable Description
The Quartus II Assembler generates a device programming image, in the form of one or more
of the following from a successful fit (that is, place-and-route).
Programmer Object Files (.pof)
SRAM Object Files (.sof)
Hexadecimal (Intel-Format) Output Files (.hexout)
Tabular Text Files (.ttf)
Assembler
Raw Binary Files (.rbf)
quartus_asm
The .pof and .sof files are then processed by the Quartus II Programmer and downloaded to
the device with the MasterBlaster
TM
or the ByteBlaster
TM
II download cable, or the Altera Programming Unit (APU). The Hexadecimal (Intel-Format) Output Files, Tabular Text Files, and Raw Binary Files can be used by other programming hardware manufacturers that provide support for Altera devices.
The Quartus II Fitter must be run successfully before running the Assembler.
The Quartus II Classic Timing Analyzer computes delays for the given design and device, and annotates them on the netlist. Then, the Classic Timing Analyzer performs timing analysis, allowing you to analyze the performance of all logic in your design. The quartus_tan
Classic Timing Analyzer
executable includes Tcl support.
quartus_tan
TimeQuest Timing Analyzer quartus_sta
Design Assistant quartus_drc
Compiler Database Interface quartus_cdb
Quartus II Analysis and Synthesis or the Fitter must be run successfully before running the Classic Timing Analyzer.
The Quartus II TimeQuest Timing Analyzer computes delays for the given design and device, and annotates them on the netlist. Then, the TimeQuest Timing Analyzer performs timing analysis, allowing you to analyze the performance of all logic in your design. The quartus_sta executable includes Tcl support and SDC support.
Quartus II Analysis and Synthesis or the Fitter must be run successfully before running the TimeQuest Timing Analyzer.
The Quartus II Design Assistant checks the reliability of a design based on a set of design rules. The Design Assistant is especially useful for checking the reliability of a design before converting the design for HardCopy target any Altera device supported by the Quartus II software, except MAX
®
devices. The Design Assistant supports designs that
®
3000 and
MAX 7000 devices.
Quartus II Analysis and Synthesis or the Fitter must be run successfully before running the Design Assistant.
The Quartus II Compiler Database Interface generates incremental netlists for use with LogicLock
TM
back-annotation, or back-annotates device and resource assignments to
preserve the fit for future compilations. The quartus_cdb executable includes Tcl support.
Analysis and Synthesis must be run successfully before running the Compiler Database Interface.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
1–4 Introduction to the Quartus II Scripting Reference Manual
Overview
Table 1. Quartus II Command-Line Executables and Descriptions (Part 3 of 3)
Executable Description
The Quartus II EDA Netlist Writer generates netlist and other output files for use with other EDA tools.
EDA Netlist Writer quartus_eda
Analysis and Synthesis, the Fitter, or Timing Analyzer must be run successfully before running the EDA Netlist Writer, depending on the arguments used.
The Quartus II Simulator tests and debugs the logical operation and internal timing of the design entities in a project. The Simulator can perform two types of simulation: functional simulation and timing simulation. The quartus_sim executable includes Tcl support.
Simulator quartus_sim
Quartus II Analysis and Synthesis must be run successfully before running a functional simulation.
The Timing Analyzer must be run successfully before running a timing simulation.
Power Analyzer
The Quartus II PowerPlay Power Analyzer estimates the thermal dynamic power and the thermal static power consumed by the design. For newer families such as Stratix MAX II, the power drawn from each power supply is also estimated.
®
II and
quartus_pow
Quartus II Analysis and Synthesis or the Fitter must be run successfully before running the PowerPlay Power Analyzer.
The Quartus II Programmer programs Altera devices. The Programmer uses one of the supported file formats:
Programmer Object Files (.pof)
SRAM Object Files (.sof)
Programmer quartus_pgm
Jam File (.jam)
Jam Byte-Code File (.jbc)
Make sure you specify a valid programming mode, programming cable, and operation for a specified device.
The Quartus II Convert Programming File module converts one programing file format to a different possible format.
Convert Programming File quartus_cpf
Make sure you specify valid options and an input programming file to generate the new requested programming file format.
The Quartus II Shell acts as a simple Quartus II Tcl interpreter. The Shell has a smaller
Quartus Shell quartus_sh
memory footprint than the other command-line executables that support Tcl. The Shell may be started as an interactive Tcl interpreter (shell), used to run a Tcl script, or used as a quick Tcl command evaluator, evaluating the remaining command-line arguments as one or more Tcl commands.
TimeQuest Timing Analyzer GUI quartus_staw
Programmer GUI quartus_pgmw
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
This executable opens the Quartus II TimeQuest Timing Analyzer GUI. This is helpful because you don’t have to open the entire Quartus II GUI for certain operations.
This executable opens up the programmer—a GUI to the quartus_pgm executable. This is helpful because users don’t have to open the entire Quartus II GUI for certain operations
Introduction to the Quartus II Scripting Reference Manual 1–5
Overview

Tcl Commands

The Quartus II software Tcl commands are grouped into Tcl packages and loaded on demand. This reduces the run-time memory of executable files and makes memory available to application. Tab le 2 describes each Tcl package.
Table 2. Tcl Packages
Package Name Package Description
advanced_timing Traverse the timing netlist and get information about timing nodes
backannotate Back annotate assignments
chip_editor Identify and modify resource usage and routing with the Chip Editor
database_manager Manage version-compatible database files
device Get device and family information from the device database
flow Compile a project, run command-line executables and other common flows
insystem_memory_edit Read and edit memory contents in Altera devices
jtag Control the jtag chain
logic_analyzer_interface Query and modify the logic analyzer interface output pin state
logiclock Create and manage LogicLock regions
misc Perform miscellaneous tasks
project
report Get information from report tables, create custom reports
sdc Specifies constraints and exceptions to the TimeQuest Analyzer
sdc_ext Altera-specific SDC commands
simulator Configure and perform simulations
sta
stp Run the SignalTap
timing Annotate timing netlist with delay information, compute and report timing paths
timing_assignment
timing_report List timing paths
Create and manage projects and revisions, make any project assignments including timing assignments
Contains the set of Tcl functions for obtaining advanced information from the TimeQuest Timing Analyzer
®
II logic analyzer
Contains the set of Tcl functions for making project-wide timing assignments, including clock assignments; all Tcl commands designed to process Classic Timing Analyzer assignments have been moved to this package
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
1–6 Introduction to the Quartus II Scripting Reference Manual
Overview
Tab le 3 lists the Quartus II Tcl packages available with Quartus II executables and
indicates whether a package is loaded by default ( ) or is available to be loaded as necessary ( ). A clear circle ( ) means that the package is not available in that executable.
Table 3. Tcl Package Availability by Quartus II Executable (Note 1), (2), (3)
Quartus II Executable
Packages
Quartus_sh Quartus_tan Quartus_cdb Quartus_sim Quartus_stp
Quartus_sta
Quartus_staw
Tcl Console
advanced_timing
backannotate
chip_planner
device
flow
insystem_memory_edit
jtag
logic_analyzer_interface
logiclock
misc
old_api
project
report
sdc
sdc_ext
simulator
sta
stp
timing
timing_assignment
timing_report
Notes to Table 3:
(1) A dark circle ( ) indicates that the package is loaded automatically. (2) A half-circle ( ) means that the package is available but not loaded automatically. (3) A white circle ( ) means that the package is not available for that executable.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Introduction to the Quartus II Scripting Reference Manual 1–7

Related Documentation

Related Documentation
Tab le 4 presents additional resources and documentation for the Quartus®II
development software.
Table 4. Quartus II Software Resources & Documentation
Resource Name
Quartus II Online Demonstrations
Introduction to Quartus II Manual
Scripting and Constraint Entry section of the Quartus II Handbook
Qhelp
Enhance Your FPGA Design Flow With Command-Line & Tcl Scripting
Design Examples
Online Training
Resource
Type
Videos
PDF
PDF
Quartus II
software
online help
Net
Seminar
(one hour)
html
PowerPoint
(PPT) and
audio
Access Description User Level
www.altera.com/quartusdemos
www.altera.com/literature/manual/intro _to_quartus2.pdf
www.altera.com/literature/lit-qts.jsp
Run quartus_sh --qhelp from the command line
www.altera.com/education/net_semina rs/past/ns-tcl.html
www.altera.com/support/examples/qua rtus/quartus.html
www.altera.com/training
Demonstration of the Quartus II software command-line operation and scripting features.
An overview of the capabilities of Quartus II software in programmable logic design.
Detailed instruction for command-line operation and Tcl scripting in Quartus II software.
Detailed listing of all command-line executables and Tcl commands including usage examples.
Overview of Quartus II command-line operation and scripting support.
Instructions for implementing various functions using Quartus II design software.
Detailed instruction examples.
Beginning to Advanced
Beginning to Intermediate
Beginning to Advanced
Beginning to Advanced
Beginning to Intermediate
Beginning to Advanced
Beginning to Advanced
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
1–8 Introduction to the Quartus II Scripting Reference Manual
Related Documentation
Quartus II Scripting Reference Manual © July 2013 Altera Corporation

2. Command-line Executables

quartus_asm

The Quartus® II Assembler generates a device programming image, in the form of one or more Programmer Object Files (.pof), SRAM Object Files (.sof), Hexadecimal (Intel-Format) Output Files (.hexout), Tabular Text Files (.ttf), and Raw Binary Files(.rbf), from a successful fit (that is, place and route).
The .pof and .sof files can then be processed by the Quartus II Programmer and the MasterBlaster ByteBlaster can be used by other programming hardware manufacturers that provide programming support for Altera devices.
The Quartus II Fitter must be run successfully before running the Assembler.
II Download Cable, or the Altera® Programming Unit (APU). The .hexout, .ttf, and .rbf files

Usage

quartus_asm [-h | --help[=<option|topic>] | -v]
quartus_asm <project name> [<options>]
This command supports the following options:
or the
Option Page
-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--help[=<option|topic>] ....................................................................................................................... 2–93
--lower_priority ...................................................................................................................................... 2–93
--read_settings_files[=on|off].............................................................................................................. 2–98
--rev=<revision name>.......................................................................................................................... 2–96
--set=<assignment=value> ................................................................................................................... 2–96
--version................................................................................................................................................... 2–93
--write_settings_files[=on|off]........................................................................................................... 2–100
This command includes help on the following topics:
Help Topic Page
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–2 Chapter 2: Command-line Executables

quartus_cdb

quartus_cdb
The Quartus® II Compiler Database Interface manages version-compatible database files and generates incremental netlists for use with LogicLock
back-annotation, or back-annotates device and resource assignments to preserve the fit for future compilations. The quartus_cdb executable includes Tcl support. Analysis & Synthesis must be run successfully before running the Compiler Database Interface.

Usage

quartus_cdb [-h | --help[=<option|topic>] | -v]
quartus_cdb <project name> [<options>]
quartus_cdb -t <script file> [<script args>]
quartus_cdb -s
quartus_cdb --tcl_eval <tcl command>
This command supports the following options:
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–3
quartus_cdb
Option Page
-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-s ............................................................................................................................................................. 2–102
-t=<script file> ...................................................................................................................................... 2–102
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--back_annotate=<demotion type> ....................................................................................................... 2–4
--bottom_up_scripts_output_directory[=<value>]............................................................................. 2–4
--bottom_up_scripts_virtual_input_pin_delay[=<value>]................................................................ 2–5
--bottom_up_scripts_virtual_output_pin_delay[=<value>] ............................................................. 2–5
--create_companion[=<companion revision>] .................................................................................... 2–5
--disable_auto_global_promotion_in_bottom_up_scripts[=on|off]................................................ 2–6
--export_database=<directory> ............................................................................................................. 2–6
--generate_bottom_up_scripts[=on|off]............................................................................................... 2–6
--generate_hc_files ................................................................................................................................... 2–7
--generate_hc_pll_delay .......................................................................................................................... 2–7
--hc_archive[=<output file>] .................................................................................................................. 2–8
--hc_min_archive...................................................................................................................................... 2–8
--hc_ready.................................................................................................................................................. 2–8
--hc_review................................................................................................................................................ 2–9
--help[=<option|topic>] ....................................................................................................................... 2–93
--import_database=<directory>............................................................................................................. 2–9
--include_all_logiclock_regions_in_bottom_up_scripts[=on|off] .................................................. 2–10
--include_design_partitions_in_bottom_up_scripts[=on|off] ........................................................ 2–10
--include_global_signal_promotion_in_bottom_up_scripts[=on|off]........................................... 2–10
--include_logiclock_regions_in_bottom_up_scripts[=on|off]........................................................ 2–10
--include_makefiles_with_bottom_up_scripts[=on|off].................................................................. 2–10
--include_pin_locations_in_bottom_up_scripts[=on|off] ............................................................... 2–11
--include_project_creation_in_bottom_up_scripts[=on|off]........................................................... 2–11
--include_timing_assignments_in_bottom_up_scripts[=on|off] ................................................... 2–11
--include_virtual_input_pin_timing_in_bottom_up_scripts[=on|off] .......................................... 2–11
--include_virtual_output_pin_timing_in_bottom_up_scripts[=on|off]........................................ 2–12
--include_virtual_pin_locations_in_bottom_up_scripts[=on|off].................................................. 2–12
--include_virtual_pins_in_bottom_up_scripts[=on|off].................................................................. 2–12
--incremental_compilation_export[=<.qxp file>].............................................................................. 2–12
--incremental_compilation_export_netlist_type=<POST_SYNTH|POST_FIT>.......................... 2–13
--incremental_compilation_export_partition_name[=<name>] ..................................................... 2–13
--incremental_compilation_export_routing[=on|off] ...................................................................... 2–13
--incremental_compilation_import[=on|off]..................................................................................... 2–13
--lower_priority ...................................................................................................................................... 2–93
--merge[=on|off].................................................................................................................................... 2–13
--mif_dependency=<mif_check>......................................................................................................... 2–13
--netlist_type=<map|cmp|asm> ........................................................................................................ 2–14
--override_partition_netlist_type=<value>........................................................................................ 2–14
--post_map[=on|off] ............................................................................................................................. 2–14
--read_settings_files[=on|off].............................................................................................................. 2–98
--remove_existing_regions_in_bottom_up_scripts[=on|off].......................................................... 2–14
--rev=<revision name>.......................................................................................................................... 2–96
--script=<script file> ............................................................................................................................ 2–102
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–4 Chapter 2: Command-line Executables
quartus_cdb
--set=<assignment=value> ................................................................................................................... 2–96
--shell...................................................................................................................................................... 2–102
--tcl_eval=<tcl command>.................................................................................................................. 2–103
--update_mif ........................................................................................................................................... 2–15
--version................................................................................................................................................... 2–93
--vqm[=<.vqm file>] .............................................................................................................................. 2–15
--write_eqn_file[=<.eqn file>] .............................................................................................................. 2–15
--write_rcf_for_vqm[=on|off].............................................................................................................. 2–15
--write_settings_files[=on|off]........................................................................................................... 2–100
This command includes help on the following topics:
Help Topic Page
Tcl ........................................................................................................................................................... 2–103
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95

--back_annotate=<demotion type>

Option to back-annotate to the current Quartus II Settings File (.qsf) according to the specified demotion type. The back-annotation process retains the current resource and device assignments for future compilations. The demotion type is used to select the assignments that you want to back-annotate. The demotion type can be specified in one of the following forms:
Demotion Type Descriptions
device For device assignments
pin_device For pin device assignments
lc For logic cell assignments
routing For routing assignments
lab For LAB assignments
megalab For MegaLAB assignments
megalab_row For MegaLAB row assignments
megalab_column For MegaLAB column assignments
row For row assignments
Note: Not all demotion types are relevant for all device families. The demotion type "routing" applies only to the Cyclone
®
, Stratix® , and Stratix GX device families.

--bottom_up_scripts_output_directory[=<value>]

Specifies the output directory for scripts and makefiles. If none is set, the project directory is used by default.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–5
quartus_cdb

--bottom_up_scripts_virtual_input_pin_delay[=<value>]

Specifies a delay, in nanoseconds, used to constrain all paths from any of the newly created virtual input pins in the lower-levels. This is to help guide the lower-level placement and produce a better quality top-level result.
The value represents the maximum acceptable delay for an inter-partition signal to arrive at the project's virtual input pin from another module. The value helps guide lower-level placement.

--bottom_up_scripts_virtual_output_pin_delay[=<value>]

Specifies a delay, in nanoseconds, used to constrain all paths to any newly created virtual output pins in the lower-levels. This helps guide the lower-level placement and produces a better quality top-level result.
The value represents the maximum acceptable delay for an inter-partition signal driven by the virtual output pin to arrive at its destination. The value helps guide lower-level placement.

--create_companion[=<companion revision>]

Creates a HardCopy companion revision based on the current revision.
If <companion revision> is specified, it is used to create the HardCopy companion revision. Otherwise, the default companion revision name is used unless the COMPANION_REVISION_NAME assignment is found in the current revision's Quartus II Settings File (.qsf).
Reverse migration also supported when the originating revision is a HardCopy device (HardCopy II or newer). The companion created will be targeted to FPGA revision with a proper companion name if the <companion revision> is not specified and the COMPANION_REVISION_NAME assignment is not found.
The current revision should be fully compiled for this option to migrate all pin locations.
Usage
quartus_cdb <project> [-c <current revision>] --create_companion=[<companion revision>]
Note that <companion revision> is the new current revision after executing this option.
Examples
## The following example illustrates the option usage using ## Stratix II and HardCopy II migration scenario
## Compile the Stratix II revision quartus_sh --flow compile myproject -c myfpga
## Create a HardCopy II revision named "myhcii" based on "myfpga" quartus_cdb myproject -c myfpga --create_companion=myhcii
## Compile the HardCopy II revision quartus_sh --flow compile myproject -c myhcii
## ********************************** ## Or you can simply do the following ## **********************************
## Compile the Stratix II revision quartus_sh --flow compile myproject
## The following command will create the ## HardCopy II revision named "myproject_hcii" ## unless the COMPANION_REVISION_NAME assignment ## is found in myproject.qsf. quartus_cdb myproject --create_companion
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–6 Chapter 2: Command-line Executables
## Compile the HardCopy II revision quartus_sh --flow compile myproject -c myproject_hc
quartus_cdb

--disable_auto_global_promotion_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain commands that disable auto global signal promotion in the lower levels.
This option is enabled by default. Add the flag
--disable_auto_global_promotion_in_bottom_up_scripts=off to disable.

--export_database=<directory>

Option to export the project database to version-compatible database files. These files are placed in the specified directory.
The following are the supported version-compatible database files to which the project database is exported:
Post-synthesis files <directory>/<revision name>.map.atm <directory>/<revision name>.map.hdbx
Post-fitting files <directory>/<revision name>.cmp.atm <directory>/<revision name>.cmp.hdbx <directory>/<revision name>.cmp.rcf
Generic files <directory>/<revision name>.cmp.xml <directory>/<revision name>.db_info

--generate_bottom_up_scripts[=on|off]

Overview
This tool is designed for use with a top-level project containing incremental compilation design partitions. When run, it generates scripts and makefiles which allow an easy conversion from top-down design methodology (all partitions in one project) to a bottom-up design methodology (separate projects for each partition).
One Tcl script is generated for each partition. The Tcl script will contain all top-level assignments relevant to the given partition, and optionally contains commands to create the lower-level project for the partition if it does not exist. The scripts also contain optionally generated commands that can help guide the lower-level placement so that better results can be achieved when exporting to the top-level project. You can customize the content of the Tcl scripts by using any of the options described later.
In addition to generating Tcl scripts, you can also generate makefiles that can be used to create the lower-level projects with the auto-generated Tcl scripts and maintain them as source files change. The tool also builds a 'master_makefile' which builds all lower-level projects, exports the results to the top-level project and performs a top-level compilation. The makefiles are auto-generated and are designed for use with GNU make. The makefiles also support parallel compilation of the the lower-level projects by using the '-j' option of GNU make on systems with multiple processors.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–7
quartus_cdb
Optional Content
As mentioned above, you can customize the content of the Tcl files with any of the following command line directives. Each is explained in more detail in their own help sections.
--include_makefiles_with_bottom_up_scripts=<on|off> Default is on.
--include_project_creation_in_bottom_up_scripts=<on|off> Default is on.
--include_virtual_pins_in_bottom_up_scripts=<on|off> Default is on.
--include_virtual_input_pin_timing_in_bottom_up_scripts=<on|off> Default is on.
--include_virtual_output_pin_timing_in_bottom_up_scripts=<on|off> Default is on.
--include_virtual_pin_locations_in_bottom_up_scripts=<on|off> Default is on.
--include_logiclock_regions_in_bottom_up_scripts=<on|off> Default is on.
--include_all_logiclock_regions_in_bottom_up_scripts=<on|off> Default is on.
--include_global_signal_promotion_in_bottom_up_scripts=<on|off> Default is off.
--include_pin_locations_in_bottom_up_scripts=<on|off> Default is on.
--include_timing_assignments_in_bottom_up_scripts=<on|off> Default is on.
--include_design_partitions_in_bottom_up_scripts=<on|off> Default is on.
--remove_existing_regions_in_bottom_up_scripts=<on|off> Default is on.
--disable_auto_global_promotion_in_bottom_up_scripts=<on|off> Default is off.
--bottom_up_scripts_output_directory=<output_directory> Default is current project directory.
--bottom_up_scripts_virtual_input_pin_delay=<delay_in_ns> No default. Must provide if including virtual input pin timing.
--bottom_up_scripts_virtual_output_pin_delay=<delay_in_ns> No default. Must provide if including virtual output pin timing.

--generate_hc_files

Generates HardCopy Handoff files to an output directory.
The output directory is "hc_output" under the current project directory unless the output directory is specified by the HC_OUTPUT_DIR assignment in the Quartus II Settings File (.qsf).

--generate_hc_pll_delay

Returns the PLL annotated delay.
Ggenerates the PLL annotated delay for both commercial and industrial speed grades of HardCopy devices. This option only returns the PLL annotated delay and does not update the collection.sdc file.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–8 Chapter 2: Command-line Executables
quartus_cdb
Usage
quartus_cdb <project> -c <current Hardcopy revision> --generate_hc_pll_delay
Examples
## Run TimeQuest for HardCopy revision
quartus_sta myproject -c myproject_hcii
## Write the PLL Annotated Delay for either Commercial or Industry Device
quartus_cdb myproject -c myproject_hcii --generate_hc_pll_delay

--hc_archive[=<output file>]

Archives HardCopy Handoff Files into the specified output file name.
The Quartus II Archive File <output file>.qar is generated by this option. By default, if the output file name is not specified, the file name <current revision>.qar is used.
The current revision and its companion revision should be fully compiled for this option to properly archive all necessary files. The companion revision is obtained from the COMPANION_REVISION_NAME assignment in the Quartus II Settings File (.qsf) for the current revision.
Usage
quartus_cdb <project> [-c <current revision>] --hc_archive=[<output file>]
Examples
## The following example illustrates the option usage using ## Stratix II and HardCopy II migration scenario
## Compile the Stratix II revision quartus_sh --flow compile myproject -c myfpga
## Create a HardCopy II revision named "myhcii" based on "myfpga" quartus_cdb myproject -c myfpga --create_companion=myhcii
## Compile the HardCopy II revision quartus_sh --flow compile myproject -c myhcii
## Verify that the design was migrated corerctly quartus_cdb myproject -c myhcii --compare=myfpga quartus_cdb myproject -c myfpga --compare=myhcii
## Generates Quartus II Archive File "myfpga.qar" quartus_cdb myproject -c myfpga --hc_archive
## Generate Quartus II Archive File "custom.qar" quartus_cdb myproject -c myfpga --hc_archive=custom

--hc_min_archive

Option to archive the minimum set of files only.
Source files and other informational files are not included when archiving the HardCopy handoff files using this option.

--hc_ready

Generate HardCopy Design Readiness Check report.
The report contains information on required settings for the design to be ready for HardCopy devices.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–9
quartus_cdb
Usage
quartus_cdb <project> [-c <current revision>] --hc_ready
Examples
## The following example illustrates the option usage using ## Stratix II and HardCopy II migration scenario
## Run Analysis & Synthesis for Stratix II revision quartus_map myproject -c myfpga
## Run HardCopy Design Readiness Check for Stratix II revision quartus_cdb myproject -c myfpga --hc_ready
## Create a HardCopy II revision named "myhcii" based on "myfpga" quartus_cdb myproject -c myfpga --create_companion=myhcii
## Run Analysis & Synthesis for HardCopy II revision quartus_map myproject -c myhcii
## Run HardCopy Design Readiness Check for HardCopy II revision quartus_cdb myproject -c myhcii --hc_ready

--hc_review

Generates a HardCopy Handoff Report.
The current revision and its companion revision should be fully compiled for this option to properly review all necessary files. The companion revision is obtained from the COMPANION_REVISION_NAME assignment in the Quartus II Settings File (.qsf) for the current revision.
Usage
quartus_cdb <project> [-c <current revision>] --hc_review
--------­Examples:
--------­## The following example illustrates the option usage using ## Stratix II and HardCopy II migration scenario
## Compile the Stratix II revision quartus_sh --flow compile myproject -c myfpga
## Create a HardCopy II revision named "myhcii" based on "myfpga" quartus_cdb myproject -c myfpga --create_companion=myhcii
## Compile the HardCopy II revision quartus_sh --flow compile myproject -c myhcii
## Verify that the design was migrated corerctly quartus_cdb myproject -c myhcii --compare=myfpga quartus_cdb myproject -c myfpga --compare=myhcii
## Review the HardCopy II design quartus_cdb myproject -c myfpga --hc_review

--import_database=<directory>

Option to import the project database from version-compatible database files in the specified directory.
The following are the supported version-compatible database files from which the project database is imported:
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–10 Chapter 2: Command-line Executables
Post-synthesis files <directory>/<revision name>.map.atm <directory>/<revision name>.map.hdbx
Post-fitting files <directory>/<revision name>.cmp.atm <directory>/<revision name>.cmp.hdbx <directory>/<revision name>.cmp.rcf
Generic files <directory>/<revision name>.cmp.xml <directory>/<revision name>.db_info
quartus_cdb

--include_all_logiclock_regions_in_bottom_up_scripts[=on|off]

When this option is enabled, every generated Tcl script contains all of the top-level LogicLock regions. Regions with logic not associated with the script's target partition act as placeholders and are empty. This command helps describes for the lower-level project the way it fits into the final, top-level design.
The option is ignored if --include_logiclock_regions_in_bottom_up_scripts=off is used.
This option is enabled by default. Add the flag --include_all_logiclock_regions_in_bottom_up_scripts=off to disable.

--include_design_partitions_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain all relevant design partition assignments from the top-level.
This option is enabled by default. Add the flag --include_design_partitions_in_bottom_up_scripts=off to disable.

--include_global_signal_promotion_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain commands that force any signals promoted to 'global' in the top-level to be promoted in the lower-level.
This option is enabled by default. Add the flag
--include_global_signal_promotion_in_bottom_up_scripts=off to disable.

--include_logiclock_regions_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain the top-level LogicLock regions associated with this partition. This helps ensure the lower-level project places its logic where the top-level project expects it.
This option is enabled by default. Add the flag --include_logiclock_regions_in_bottom_up_scripts=off to disable.

--include_makefiles_with_bottom_up_scripts[=on|off]

Option to generate makefiles for lower-level projects in addition to the Tcl scripts. One makefile is generated for each lower-level project, for the top-level project and for the overall design (known as the master_makefile). The master makefile simply invokes all other makefiles.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–11
quartus_cdb
Makefiles are designed to work with GNU make and support the '-j' option which allows parallel compilation of the lower-level projects. The master makefile is all that needs to be called by the user to ensure the lower-level projects are up to date and that the top-level project has imported the latest versions of the lower-level projects. You can invoke the master makefile as follows (you must not turn off
--include_project_creation_in_bottom_up_scripts for this to work without modification):
gnumake -f master_makefile.mak -j2 <The '-j2' means there are 2 processors to use.>
Makefiles are placed in the directory of the project they control if project creation is enabled and appropriate directories are automatically filled in. If you elect not to have the tool create projects for you, all makefiles are placed in the specified output directory and the user must fill in the directory variables at the top of each makefile so that the tool knows where the lower-level projects can be found. In both cases you must add the source file dependencies for each lower-level project's makefile if maintainance of the project after initial compilation is desired. By default no dependencies are created (other than one on the auto-generated Tcl script for that partition) and so after the first c ompilation, the rule is be up to date.
By default, makefile generation is enabled. Add the flag --include_makefiles_with_bottom_up_scripts=off to disable.

--include_pin_locations_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain commands that lock any lower-level pins connected directly to IOs in the top-level to the IO location they were placed at in the top-level. This helps keep a consistent pin placement amongst projects.
This option is enabled by default. Add the flag --include_pin_locations_in_bottom_up_scripts=off to disable.

--include_project_creation_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain commands to create the lower-level projects if the projects do not exist. The tool creates projects in subdirectories under the output directory, named according to name of the corresponding partition.
By default, project creation is enabled. Add the flag --include_project_creation_in_bottom_up_scripts=off to disable.

--include_timing_assignments_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain all relevant timing assignments from the top-level.
This option is enabled by default. Add the flag --include_timing_assignments_in_bottom_up_scripts=off to disable.

--include_virtual_input_pin_timing_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain INPUT_MAX_DELAY to constrain all paths to the newly created virtual input pins (see --include_virtual_pins_in_bottom_up_scripts). The value for this option is the inter-partition delay of paths driving the virtual inputs. For more information about the meaning of theseassignments, please see the Quartus II Help topics relating to INPUT_MAX_DELAY.
The option is ignored if --include_virtual_pins_in_bottom_up_scripts=off is used.
If you use this option, you must also specify the delay (in nanoseconds) to be used in the constraints with the --bottom_up_scripts_virtual_input_pin_delay option.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–12 Chapter 2: Command-line Executables
This option is enabled by default. Add the flag
--include_virtual_input_pin_timing_in_bottom_up_scripts=off to disable.
quartus_cdb

--include_virtual_output_pin_timing_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain OUTPUT_MAX_DELAY to constrain all paths to the newly created virtual output pins (see --include_virtual_pins_in_bottom_up_scripts). Specify the inter-partition delay seen by paths driven by the virtual outputs. For more information about the meaning of theseassignments, please see the Quartus II Help topics relating to OUTPUT_MAX_DELAY.
The option is ignored if --include_virtual_pins_in_bottom_up_scripts=off is used.
If you use this option, you must also specify the delay (in nanoseconds) to be used in the constraints with the --bottom_up_scripts_virtual_output_pin_delay=<delay> command.
This option is enabled by default. Add the flag
--include_virtual_output_pin_timing_in_bottom_up_scripts=off to disable.

--include_virtual_pin_locations_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain location constraints on newly created virtual pins (see --include_virtual_pins_in_bottom_up_scripts). The pins are locked to their top-level source (for input pins) or sink (for output pins) location.
The option is ignored if --include_virtual_pins_in_bottom_up_scripts=off is used.
This option is enabled by default. Add the flag --include_virtual_pin_locations_in_bottom_up_scripts=off to disable.

--include_virtual_pins_in_bottom_up_scripts[=on|off]

When enabled, this means that generated Tcl scripts contain commands to mark all lower-level pins that connect to other design entities in the top-level (i.e. not directly to IOs) as virtual pins. This helps prevent overuse of IOs and leads to a more accurate representation of the lower-level project.
This option is enabled by default. Add the flag --include_virtual_pins_in_bottom_up_scripts=off to disable.

--incremental_compilation_export[=<.qxp file>]

Exports a design partition into a Quartus II Exported Partition (.qxp) file. The .qxp file contains the compilation results of the specified partition, and can be imported into one or more design partitions of another project.
The value <output file> is optional. If unspecified, the value specified by the INCREMENTAL_COMPILATION_EXPORT_FILE global assignment is used if present. Otherwise, a default file name is generated.
Other options you may use to control how the operation is performed include:
--incremental_compilation_export_partition_name[=<name>]
--incremental_compilation_export_routing[=<on|off>]
--incremental_compilation_export_netlist_type=<POST_SYNTH|POST_FIT>
Each of the above is explained in more detail in their own help sections.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–13
quartus_cdb

--incremental_compilation_export_netlist_type=<POST_SYNTH|POST_FIT>

This option must be used with the --incremental_compilation_export option. The values POST_FIT and POST_SYNTH are used to direct the software to export the post-fit and the post-synthesis netlist, respectively. If this option is omitted, the value specified by the INCREMENTAL_COMPILATION_EXPORT_NETLIST_TYPE global assignment is used if present. Otherwise, the top-level partition is exported by default.

--incremental_compilation_export_partition_name[=<name>]

This option must be used with the --incremental_compilation_export option. Use this option to specify the name of the partition to be exported. If this option is omitted, or if an empty value is provided, the value specified by the INCREMENTAL_COMPILATION_EXPORT_PARTITION_NAME global assignment is used if present. Otherwise, the top-level partition is exported by default.

--incremental_compilation_export_routing[=on|off]

This option must be used with the --incremental_compilation_export option. The value specifies whether routing is to be exported. The option only has an effect when a post-fit netlist is exported, because a post-synthesis netlist does not contain routing information. If this option is omitted, the value specified with the INCREMENTAL_COMPILATION_EXPORT_ROUTING global assignment is used if present. Otherwise, the default is to export routing unless the currently specified device family does not support it.

--incremental_compilation_import[=on|off]

Imports one or more Quartus II Exported Partition (.qxp) files into the design partitions of the current project.
The option uses the following partition assignments to determine the location of the Quartus II Exported Partition files, and how importation should be performed, on a per-partition basis:
PARTITION_IMPORT_FILE PARTITION_IMPORT_PROMOTE_ASSIGNMENTS PARTITION_IMPORT_NEW_ASSIGNMENTS PARTITION_IMPORT_EXISTING_ASSIGNMENTS PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS

--merge[=on|off]

Merges all design partitions to prepare a netlist for the Fitter based on the current Partition Netlist Type assignments.

--mif_dependency=<mif_check>

Run the Memory Initialization File dependency check script.
If your design has RAM without a Memory Initialization File, then the Memory Initialization File dependency script creates an extra revision called <rev_name>_mif_dependency with the ASM option (use checkerboard pattern) turned on to ensure that the design has no initialization dependency.
Usage
quartus_cdb <project> -c <current FPGA revision> --mif_dependency=mif_check
quartus_cdb <project> -c <current FPGA revision> --mif_dependency=cleanup
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–14 Chapter 2: Command-line Executables
quartus_cdb
Examples
## Run Analysis & Synthesis for Stratix II revision quartus_map myproject -c myfpga
## Run Fitter for Stratix II revision quartus_fit myproject -c myfpga
## Run MIF Dependency Check for Stratix II revision quartus_cdb myproject -c myfpga --mif_dependency=mif_check
## Run MIF Dependency Check cleanup for Stratix II revision quartus_cdb myproject -c myfpga --mif_dependency=cleanup

--netlist_type=<map|cmp|asm>

Loads the specified atom netlist type. This option is used in combination with the "-write_equation_file" option. Use "map" to specify the post Analysis & Synthesis netlist. Use "cmp" to specify the post Fitter netlist. Use "asm" to specify the post Assembler netlist. The post Assembler netlist is only supported for designs using the HardCopy device family.

--override_partition_netlist_type=<value>

Overrides the netlist type setting for the specified Design Partition for this compililation. The option must be used with the --merge option.
<value> takes the form of "<partition name>=<netlist type>", including the double quotes. For example, to use netlist type POST_SYNTH for a partition named "alu", use the following argument:
--override_partition_netlist_type="alu=POST_SYNTH"
For non-imported partitions, the following netlist type values can be used:
POST_SYNTH POST_FIT STRICT_POST_FIT EMPTY
For imported partitions, the following netlist type values can be used:
IMPORT IMPORT_BASED_POST_FIT EMPTY
To override the netlist type for more than one partition, use the override_partition_netlist_type option as many times as needed.

--post_map[=on|off]

Limits the --export_database and --import_database options to only export and import the output of Analysis and Synthesis (quartus_map) to and from the version-compatible database.
Note: This option must be used with either the --export_database or the --import_database option.

--remove_existing_regions_in_bottom_up_scripts[=on|off]

When this option is enabled, generated Tcl scripts contain commands that remove any LogicLock regions that exist in the project the script is being called within.
This option is enabled by default. Add the flag --remove_existing_regions_in_bottom_up_scripts=off to disable.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–15
quartus_cdb

--update_mif

Option to update memory content from the Memory Initialization File (.mif) or Hexadecimal (Intel-Format) File (.hex) for all RAM or CAM atoms.
This option is useful for quickly changing memory contents without requiring a full compilation. After using this option, run the Assembler (quartus_asm) to generate new programming files for the device.

--vqm[=<.vqm file>]

Option to generate a Verilog Quartus Mapping File (.vqm) netlist.
You must specify the .vqm file name unless the name can be taken from the LOGICLOCK_INCREMENTAL_COMPILE_FILE assignment in the Quartus II Settings File (.qsf).
This option overrides the settings specified in the .qsf.

--write_eqn_file[=<.eqn file>]

Writes equation file to the specified filename. If filename is not specified, the filename used is: <revision name>.<map or fit>.eqn.
The netlist type must be specified using "--netlist_type" option. The valid netlist types are "map" and "cmp".
Use "map" to specify the post synthesis netlist and use "cmp" for the post fitter netlist.
Examples
##Write the post synthesis equation file (using default filename.) quartus_cdb <revision name> --write_eqn_file --netlist_type=map
##Write the post fitter equation file (using default filename.) quartus_cdb <revision name> --write_eqn_file --netlist_type=cmp
##Write post synthesis equation file to the file "my_mapper_results.eqn". quartus_cdb <revision name> --write_eqn_file=my_mapper_results.eqn
--netlist_type=map

--write_rcf_for_vqm[=on|off]

Option to write the Routing Constraints File (.rcf) for the Verilog Quartus Mapping File (.vqm) netlist.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–16 Chapter 2: Command-line Executables

quartus_cpf

quartus_cpf
The Quartus® II Convert Programming Files converts one programming file format to a different possible format.
Make sure you specify valid options and an input programming file to generate the new requested programming file format. Refer to help topics for more information and examples.

Usage

quartus_cpf [-h | --help[=<option|topic>] | -v]
quartus_cpf -c [options] <input_file> <output_file> --- to convert file
quartus_cpf -w <option_filename> --- to create option file for configuration device
quartus_cpf -e -k <keyfile>:<key_id>[:<key_id>] <input_sof_file> <output_ekp_file> --­to generate an encryption key programming file
This command supports the following options:
Option Page
-a=<hexadecimal number>................................................................................................................... 2–17
-c ............................................................................................................................................................... 2–17
-d=<device name> ................................................................................................................................. 2–17
-f=<argument file>................................................................................................................................. 2–92
-g=<voltage>........................................................................................................................................... 2–17
-h............................................................................................................................................................... 2–92
-k=<filename and key id>..................................................................................................................... 2–17
-m=<PS|AP|FPP|PPA|PPS>............................................................................................................. 2–17
-n=<pb|v|p> ......................................................................................................................................... 2–17
-o=<filename> ........................................................................................................................................ 2–17
-q=<frequency with units>................................................................................................................... 2–18
-s=<device name>.................................................................................................................................. 2–18
-u=<up|down>...................................................................................................................................... 2–18
-v............................................................................................................................................................... 2–92
-w.............................................................................................................................................................. 2–18
--64bit ....................................................................................................................................................... 2–92
--configuration_mode=<PS|AP|FPP|PPA|PPS>........................................................................... 2–18
--convert .................................................................................................................................................. 2–18
--count_dir=<up|down>...................................................................................................................... 2–18
--device=<device name>....................................................................................................................... 2–18
--frequency=<frequency with units>.................................................................................................. 2–19
--help[=<option|topic>] ....................................................................................................................... 2–93
--key=<filename and key id> ............................................................................................................... 2–19
--lower_priority ...................................................................................................................................... 2–93
--operation=<pb|v|p> ......................................................................................................................... 2–19
--option=<filename>.............................................................................................................................. 2–19
--sfl_device=<device name>................................................................................................................. 2–20
--start_address=<hexadecimal number>............................................................................................ 2–20
--version................................................................................................................................................... 2–93
--voltage=<voltage> .............................................................................................................................. 2–20
--write....................................................................................................................................................... 2–20
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–17
quartus_cpf
This command includes help on the following topics:
Help Topic Page
arguments ............................................................................................................................................... 2–93
design_security....................................................................................................................................... 2–20
hexout ...................................................................................................................................................... 2–21
isc.............................................................................................................................................................. 2–21
jam ............................................................................................................................................................ 2–22
jbc.............................................................................................................................................................. 2–22
jic............................................................................................................................................................... 2–22
makefiles.................................................................................................................................................. 2–93
pof............................................................................................................................................................. 2–22
rbf ............................................................................................................................................................. 2–23
return_codes ........................................................................................................................................... 2–95
rpd ............................................................................................................................................................ 2–23
svf ............................................................................................................................................................. 2–23
ttf............................................................................................................................................................... 2–24

-a=<hexadecimal number>

Refer to the help for --start_address=<hexadecimal number> on page 2–20
-c
Refer to the help for --convert on page 2–18

-d=<device name>

Refer to the help for --device=<device name> on page 2–18

-g=<voltage>

Refer to the help for --voltage=<voltage> on page 2–20

-k=<filename and key id>

Refer to the help for --key=<filename and key id> on page 2–19

-m=<PS|AP|FPP|PPA|PPS>

Refer to the help for --configuration_mode=<PS|AP|FPP|PPA|PPS> on page 2–18

-n=<pb|v|p>

Refer to the help for --operation=<pb|v|p> on page 2–19

-o=<filename>

Refer to the help for --option=<filename> on page 2–19
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–18 Chapter 2: Command-line Executables
quartus_cpf

-q=<frequency with units>

Refer to the help for --frequency=<frequency with units> on page 2–19

-s=<device name>

Refer to the help for --sfl_device=<device name> on page 2–20

-u=<up|down>

Refer to the help for --count_dir=<up|down> on page 2–18
-w
Refer to the help for --write on page 2–20

--configuration_mode=<PS|AP|FPP|PPA|PPS>

Option to specify the configuration mode to be used. Use this option only if you want to generate a Raw Binary File (.rbf), Tabular Text File (.ttf) or a Hexadecimal (Intel-Format) Output File (.hexout).
Value Options
PS Passive Serial
AP Active Parallel
FPP Fast Passive Parallel
PPA Passive Parallel Asynchronous
PPS Passive Parallel Synchronous

--convert

Option to convert input file(s) to output file format.
# To convert a .sof into a .pof, .jic, .rbf, .ttf or .hexout quartus_cpf -c [options or option_file] <input_sof_file> <output file type (pof | jic | rbf | ttf | hexout)>
# To convert multiple files into a .pof, .jic, .rbf, .ttf, or .hexout, # use a Conversion Setup File (.cof) created with the # Convert Programming Files dialog box in the UI quartus_cpf -c <input_cof_file>
# To convert multiple filesinto a .jam, .jbc, .svf or .isc, # use a Chain Description File (.cdf) created with the # Programmer tool in the UI quartus_cpf -c <input_cdf_file> <output file type (jam | jbc | svf | isc)>

--count_dir=<up|down>

Option to specify the direction for the address for the Hexadecimal (Intel-Format) Output File (.hexout). Use this option only with the "-h" or "--hexout" option.

--device=<device name>

Option to specify the name for the configuration device.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–19
quartus_cpf

--frequency=<frequency with units>

Option to specify the JTAG TCK clock frequency. Use this option only if you want to generate a Serial Vector Format (.svf) for the output file.
Example
# To create an SVF for programming with a 10MHz JTAG TCK clock frequency quartus_cpf -c -q 10.0MHz -n p <input_pof_file> <output_svf_file>

--key=<filename and key id>

Option to specify a key (or keys) to be used for generating secured configuration bitstreams or the Encryption Key Programming File (.ekp).
Use the following format to specify key values:
<keyfile>:<keyid>[:<keyid2>]
in which keyfile is a valid Key File (.key), keyid is a valid id for a key in the specified file, and keyid2 is a valid id for a key in the specified file.
Examples
# To convert .sof to a secured configuration bitstream .rbf # using a single key file quartus_cpf --key <keyfile>:<keyid1>:<keyid2> <input_sof_file> <output_rbf_file>
# To generate an Encryption Key Programming File (.ekp) # using two key files quartus_cpf --key <keyfile1>:<keyid1> --key <keyfile2>:<keyid2> <input_sof_file> <output_ekp_file>

--operation=<pb|v|p>

Option to specify the programming options. Use this option only if you want to generate a Serial Vector Format File (.svf) for the output file.
Value Options
p program
v verify
pb program and blank check
Example
# To create an SVF for programming quartus_cpf -c -n p <input_pof_file> <output_svf_file>

--option=<filename>

Option to create the configuration device file using the specified input option file.
You can create the option file using any text editor. Use the strings and the values listed below or use the "quartus_cpf -w" command to generate the strings in the text file automatically.
Use the following format to specify string values:
<string>=<value>
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–20 Chapter 2: Command-line Executables
quartus_cpf
The following are valid strings and values for the option file:
String Description
compression=on|off Turns the compression on or off for enhanced configuration devices.
clock_divisor=1 to 16|1.5|2.5 Specifies the value of the clock divisor for enhanced configuration devices.
clock_source=internal|external Specifies the clock source for enhanced configuration devices.
clock_frequency Specifies the clock frequency for enhanced configuration devices. Internal values:
10|33|50|66 MHz External values: 1 Hz to 133.0 MHz
jtag_usercode Specifies the JTAG user code value, which must be stored as a hexadecimal number,
for example, jtag_usercode=abcd1234.
disable_pullups=on|off Disables the nCS and OE internal pull-ups on the configuration device.
memory_map_file=on|off Turns the memory map file generation on or off.
auto_usercode=on|off Turns the auto usercode option on or off.
auto_jtag_usercode_inc=on|off Automatically increments JTAG user code in the second and subsequent
configuration devices if the target device requires multiple configuration devices.
use_low_voltage=on|off Allows an EPC1 configuration device to operate in a 3.3-V environment.
bitstream_compression=on|off Turns the bitstream compression on or off for a Cyclone device.

--sfl_device=<device name>

Option to specify the serial flash loader device name. Only the Cyclone device family supports serial flash loader.

--start_address=<hexadecimal number>

Option to specify the start address for the Hexadecimal (Intel-Format) Output File (.hexout). Use this option only with the "-h" or "--hexout" option.

--voltage=<voltage>

Option to specify the VCC level. Use this option only if you want to generate a Serial Vector Format File (.svf) for the output file.
Example
# To create an SVF for programming with a 3.3V supply quartus_cpf -c -g 3.3 -n p <input_pof_file> <output_svf_file>

--write

Option to write the option file for the configuration device(s).

design_security

For families that support Design Security, use the 'key' option to specify the keys to be used for generating secured configuration bitstreams or the Encryption Key Programming File (.ekp).
Use the following format to specify key values:
<keyfile>:<keyid>[:<keyid2>]
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–21
quartus_cpf
in which keyfile is a valid Key File (.key), keyid is a valid id for a key in the specified file, and keyid2 is a valid id for a key in the specified file.
Examples
# To convert .sof to a secured configuration bitstream .rbf # using a single key file quartus_cpf --key <keyfile>:<keyid1>:<keyid2> <input_sof_file> <output_rbf_file>
# To generate an Encryption Key Programming File (.ekp) # using two key files quartus_cpf --key <keyfile1>:<keyid1> --key <keyfile2>:<keyid2> <input_sof_file> <output_ekp_file>

hexout

To generate a Hexadecimal (Intel-Format) Output File (.hexout), specify the input file name and output file name. Make sure the file extension of the output file is .hexout. The input file can be either an SRAM Object File (.sof) or a Programmer Object File (.pof).
You can use optional arguments to specify the data start address and count direction. These arguments are not legal if you are trying to convert an enhanced configuration device .pof to a .hexout.
Optional arguments are as follows:
String Description
-u | --count_dir Specifies the count direction for the data.
-a | --start_address Specifies the start address of the data. Make sure to enter the address as a hexadecimal number.
Examples
# To convert .sof to .hexout quartus_cpf -c <input_file> <output_hexout_file>
#start address = 0x200, data count direction = up quartus_cpf -c -u up -a 0x200 <input_file> <output_hexout_file>
#start address = 0x0fffff, data count direction = down quartus_cpf -c -u down -a 0x0fffff <input_file> <output_hexout_file>
# To use a Conversion Setup File (.cof) created with # the Convert Programming Files dialog box in the UI quartus_cpf -c <input_cof_file>
isc
To generate an In System Configuration File (.isc), specify the input file name and output file name. The ISC File is generated for IEEE-1532 compliant or compatible devices. Make sure the file extension of the output file is .isc. The input file can be either a Programmer Object File (.pof) or a Chain Description File (.cdf).
Examples
quartus_cpf -c <input_pof_file> <output_isc_file> quartus_cpf -c <input_cdf_file> <output_isc_file>
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–22 Chapter 2: Command-line Executables
quartus_cpf
jam
To generate a JEDEC STAPL Format File (.jam), specify the input file name and output file name. Make sure the file extension of the output file is .jam. The input file must be either an SRAM Object File (.sof), a Programmer Object File (.pof), or a Chain Description File (.cdf). Use the .cdf to generate the .jam for a multi-device chain.
Examples
quartus_cpf -c <input_pof_file> <output_jam_file> quartus_cpf -c <input_sof_file> <output_jam_file> quartus_cpf -c <input_cdf_file> <output_jam_file>
jbc
To generate a Jam STAPL Byte Code 2.0 File (.jbc), specify the input file name and output file name. Make sure the file extension of the output file is .jbc. The input file must be either an SRAM Object File (.sof), a Programmer Object File (.pof), or a Chain Description File (.cdf). Use a .cdf to generate the .jbc for a multi-device chain.
Examples
quartus_cpf -c <input_pof_file> <output_jbc_file> quartus_cpf -c <input_sof_file> <output_jbc_file> quartus_cpf -c <input_cdf_file> <output_jbc_file>
jic
To convert an SRAM Object File (.sof) to a JTAG Indirect Configuration Device Programming File (.jic), specify the input file name, configuration device name, serial flash loader device name, and output file name. Make sure the file extension of the output file is .jic. You can also generate a .jic using a Conversion Setup File (.cof) created with the Convert Programming Files dialog box in the UI. A .cof contains all the options for the configuration device along with the output .jic name.
The configuration device must be an Altera
®
serial configuration device and the serial flash loader device
must be a Cyclone device.
Examples
# To convert .sof to .jic quartus_cpf -c -d <config_device_name> -s <serial_flash_loader_device_name> <input_sof_file> <output_jic_file>
# To use option file quartus_cpf -c -o <option_file> -d <config_device_name>
-s <serial_flash_loader_device_name> <input_sof_file> <output_jic_file>
# To use .cof quartus_cpf -c <input_cof_file>
pof
To convert an SRAM Object File (.sof) to a Programmer Object File (.pof), specify the input file name, configuration device name and output file name. Make sure the file extension of the output file is .pof. You can also generate a .pof using a Conversion Setup File (.cof) created with the Convert Programming Files dialog box in the UI. A .cof contains all the options for the configuration device along with the output .pof name.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–23
quartus_cpf
Alternatively, you can change configuration device options using an ASCII text option file. Refer to the help for the "-o" option for more information about the option file. If you do not specify an option file and a .cof, default values are used, or values are read from a .cof.
Examples
# To convert .sof to .pof quartus_cpf -c -d <config_device_name> <input_sof_file> <output_pof_file>
# To use option file quartus_cpf -c -o <option_file> -d <config_device_name> <input_sof_file> <output_pof_file>
# To use .cof quartus_cpf -c <input_cof_file>
rbf
To generate a Raw Binary File (.rbf), specify the input file name and output file name. Make sure file extension of the output file is .rbf. The input file can be only an SRAM Object File (.sof).
Examples
# To convert .sof to .rbf quartus_cpf -c <input_sof_file> <output_rbf_file>
# To use a Conversion Setup File (.cof) created with # the Convert Programming Files dialog box in the UI quartus_cpf -c <input_cof_file>
rpd
To generate a Raw Programming Data File (.rpd), specify the input file name and output file name. Make sure the file extension of the output file is .rpd. The input file can be only a Programmer Object File (.pof).
Examples
# To convert .pof to .rpd quartus_cpf -c <input_pof_file> <output_rpd_file>
# To use a Conversion Setup File (.cof) created with # the Convert Programming Files dialog box in the UI quartus_cpf -c <input_cof_file>
svf
To generate a Serial Vector Format File (.svf), you must use three arguments: "-q" ("--frequency") to specify the JTAG TCK clock frequency, "-g" ("--voltage") to specify the VCC level, and "-n" ("--operation") to specify the programming operation.
Make sure to specify the units for frequency and voltage.
Use a Chain Description File (.cdf) to generate the .svf for a multi-device chain.
Examples
# To use 4.5 MHz TCK, 3.3V supply, and programming option quartus_cpf -c -q 4.5MHz -g 3.3 -n p <input_pof_file> <output_svf_file>
# To use 10 MHz TCK, 3.3V supply, and verify option quartus_cpf -c -q 10MHz -g 3.3 -n v <input_sof_file> <output_svf_file>
# To use 45 KHz TCK, 1.8V supply, and programming+blank_check option quartus_cpf -c -q 45KHz -g 1.8 -n pb <input_cdf_file> <output_svf_file>
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–24 Chapter 2: Command-line Executables
quartus_cpf
ttf
To generate a Tabular Text File (.ttf), specify the input file name and output file name. Make sure the file extension of the output file is .ttf. The input file can be only an SRAM Object File (.sof).
Examples
# To convert .sof to .ttf quartus_cpf -c <input_sof_file> <output_ttf_file>
# To use a Conversion Setup File (.cof) created with # the Convert Programming Files dialog box in the UI quartus_cpf -c <input_cof_file>
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–25

quartus_drc

quartus_drc
The Quartus II Design Assistant checks the reliability of a design based on a set of design rules. The Design Assistant is especially useful for checking the reliability of a design before converting the design for HardCopy devices.
The Design Assistant supports designs that target any Altera device supported by the Quartus II software.
Quartus II Analysis & Synthesis or the Fitter must be run successfully before running the Design Assistant.

Usage

quartus_drc [-h | --help[=<option|topic>] | -v]
quartus_drc <project name> [<options>]
quartus_drc -t <script file> [<script args>]
quartus_drc -s
quartus_drc --tcl_eval <tcl command>
This command supports the following options:
Option Page
-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-s ............................................................................................................................................................. 2–102
-t=<script file> ...................................................................................................................................... 2–102
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--hc[=on|off]........................................................................................................................................... 2–26
--help[=<option|topic>] ....................................................................................................................... 2–93
--lower_priority ...................................................................................................................................... 2–93
--post_fit[=on|off].................................................................................................................................. 2–26
--post_syn[=on|off] ............................................................................................................................... 2–26
--read_settings_files[=on|off].............................................................................................................. 2–98
--rev=<revision name>.......................................................................................................................... 2–96
--rtl[=on|off]........................................................................................................................................... 2–26
--script=<script file> ............................................................................................................................ 2–102
--set=<assignment=value> ................................................................................................................... 2–96
--shell...................................................................................................................................................... 2–102
--tcl_eval=<tcl command>.................................................................................................................. 2–103
--version................................................................................................................................................... 2–93
--write_settings_files[=on|off]........................................................................................................... 2–100
This command includes help on the following topics:
Help Topic Page
Tcl ........................................................................................................................................................... 2–103
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–26 Chapter 2: Command-line Executables
quartus_drc

--hc[=on|off]

Option to generate HardCopy files.
This option overrides the settings specified in the Quartus II Settings File (.qsf).

--post_fit[=on|off]

Option to perform post-fit design analysis.

--post_syn[=on|off]

Option to perform post-synthesis design analysis.

--rtl[=on|off]

Option to run Design Assistant in pre-synthesis (RTL) mode.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–27

quartus_eda

quartus_eda
The Quartus II EDA Netlist Writer generates netlist and other output files for use with other EDA tools. Quartus II Analysis & Synthesis, the Fitter, or Timing Analyzer must be run successfully before running the EDA Netlist Writer, depending on the arguments used.
The options are grouped into two levels: top-level options and secondary options. A top-level option specifies a single task. You can specify only one top-level option.
The following top-level options are supported: --simulation, --timing_analysis, --formal_verification,
--board_timing, --board_signal_integrity --board_symbol, --resynthesis, --gen_testbench, --hardcopy
For information on top-level options and corresponding secondary level options, use "--help=<option>" for the top-level option.

Usage

quartus_eda [-h | --help[=<option|topic>] | -v]
quartus_eda <project name> [<options>]
quartus_eda -t <script file> [<script args>]
quartus_eda -s
quartus_eda --tcl_eval <tcl command>
This command supports the following options:
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–28 Chapter 2: Command-line Executables
quartus_eda
Option Page
-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-s ............................................................................................................................................................. 2–102
-t=<script file> ...................................................................................................................................... 2–102
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--board_boundary_scan[=on|off] ....................................................................................................... 2–28
--board_signal_integrity[=on|off]....................................................................................................... 2–29
--board_symbol[=on|off]...................................................................................................................... 2–29
--board_timing[=on|off]....................................................................................................................... 2–29
--formal_verification[=on|off]............................................................................................................. 2–29
--format=<NONE> ................................................................................................................................ 2–29
--gen_script=<NONE>.......................................................................................................................... 2–30
--gen_testbench....................................................................................................................................... 2–30
--glitch_filtering[=on|off]..................................................................................................................... 2–30
--help[=<option|topic>] ....................................................................................................................... 2–93
--lower_priority ...................................................................................................................................... 2–93
--output_directory=<NONE> .............................................................................................................. 2–30
--read_settings_files[=on|off].............................................................................................................. 2–98
--resynthesis[=on|off] ........................................................................................................................... 2–30
--rev=<revision name>.......................................................................................................................... 2–96
--script=<script file> ............................................................................................................................ 2–102
--set=<assignment=value> ................................................................................................................... 2–96
--shell...................................................................................................................................................... 2–102
--simulation[=on|off]............................................................................................................................ 2–31
--tcl_eval=<tcl command>.................................................................................................................. 2–103
--timing_analysis[=on|off]................................................................................................................... 2–31
--tool=<3rd-party eda tool>.................................................................................................................. 2–31
--user_compiled_simlib_dir=<NONE>.............................................................................................. 2–33
--vcd_tb_design_instance_name=<NONE> ...................................................................................... 2–33
--vcd_type=<NONE>............................................................................................................................ 2–33
--version................................................................................................................................................... 2–93
--write_settings_files[=on|off]........................................................................................................... 2–100
This command includes help on the following topics:
Help Topic Page
Tcl ........................................................................................................................................................... 2–103
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95

--board_boundary_scan[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for board level boundary scan-related file generation.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–29
quartus_eda
The exact type of output file(s) are specified by the secondary options --format=bsdl, and
--output_directory The --output_directory option is optional.

--board_signal_integrity[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for board level signal integrity related file generation.
The exact type of output file(s) are specified by the secondary options --format=ibis and --format=hspice, and --output_directory The --output_directory option is optional.

--board_symbol[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for board level symbol related file generation.
The exact type of output file(s) are specified by the "--tool=viewdraw" and "--output_directory" options.
The --output_directory option is optional.

--board_timing[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for board level timing related file generation.
The exact type of output file(s) are specified by the "--format=stamp" and "--output_directory" options.
The --output_directory option is optional.

--formal_verification[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for formal verification-related file generation.
The exact type of output file(s) are specified by the "--tool" and the "--output_directory" option. The
--output_directory option is optional.

--format=<NONE>

Option to specify the format of a netlist or a test bench. This option is usually used with the "--tool" option.
The following format values are supported:
verilog
vhdl
ibis
hspice
stamp
psdf
bsdl
This option overrides the settings specified in the Quartus II Settings File (.qsf).
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–30 Chapter 2: Command-line Executables
quartus_eda

--gen_script=<NONE>

Option that tells the EDA Netlist Writer to generate a simulation command script for third-party EDA simulation tools.
This option can take three possible values:
rtl gate_level rtl_and_gate_level
The location of pre-compiled simulation library is specified with the option "--user_compiled_simlib_dir". This option is optional.
For more information on this option, use "--help=<option>".
This option overrides the settings specified in the Quartus II Settings File (.qsf).

--gen_testbench

A top-level option that indicates that all other options specified on the command line are meant for HDL test bench-related file generation.
The exact type of output file(s) are specified by secondary options. These include:
--tool
--format
--vector_source
--testbench_file
--check_outputs
All options are always optional, except "--tool" and "--format". The "--tool" and "--format" options may or may not be optional.
The "--vector_source", "--testbench_file", and “--check_outputs” options cannot be used unless the “--gen_testbench” option is used.
For more information on each option, use "--help=<option>".

--glitch_filtering[=on|off]

Option to specify that output netlists and .sdo file be generated for glitch filtering. This option can only be used with the top-level option "--simulation".
This option overrides the settings specified in the Quartus II Settings File (.qsf).

--output_directory=<NONE>

Option to specify the directory for generated output files.
This option overrides the settings specified in the Quartus II Settings File (.qsf).

--resynthesis[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for resynthesis- related file generation.
The exact type of output file(s) are specified by the "--tool" option.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–31
quartus_eda

--simulation[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for simulation-related file generation.
The exact type of output file(s) are specified by secondary options. These include:
--tool
--format
--output_directory
--glitch_filtering
--no_top_vhdl_entity
--disable_bidir_input_timing_checks
--vhdl_architecture
--vcd_tb_design_instance_name
--vcd_type
--functional
--maintain_design_hierarchy
--map_illegal_characters
--short_hpath
--timescale
--flatten_buses
--device_controls_as_ports
--user_compiled_simlib_dir
All options are always optional, except “--tool” and “--format”. The “--tool” and “--format” options may or may not be optional.
For more information on each option, use "--help=<option>".

--timing_analysis[=on|off]

A top-level option that indicates that all other options specified on the command line are meant for timing analysis- related file generation.
The exact type of output file(s) are specified by secondary options. These include:
--tool
--format
--output_directory
--map_illegal_characters
--short_hpath
--flatten_buses
All options are always optional, except "--tool" and "--format". The "--tool" and "--format" options may or may not be optional.
For more information on each option, use "--help=<option>".

--tool=<3rd-party eda tool>

Option to tell the EDA Netlist Writer to write out a netlist for the specified third-party EDA tool. You can choose the third-party EDA tool from one of the three categories of available tools: simulation, timing analysis, or board level design and analysis.
This option overrides the settings specified in the Quartus II Settings File (.qsf).
Both the tool name and format must be specified in order to generate a netlist. Available tools and their corresponding options are listed below:
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–32 Chapter 2: Command-line Executables
quartus_eda
Simulation Tool as shown in GUI Command-line Options
Tool: ModelSim Format: VHDL --simulation --tool=modelsim --format=vhdl
Tool: ModelSim Format: Verilog --simulation --tool=modelsim --format=verilog
Tool: ModelSim-Altera Format: VHDL --simulation --tool=modelsim_oem --format=vhdl
Tool: ModelSim-Altera Format: Verilog --simulation --tool=modelsim_oem --format=verilog
Tool: NC-VHDL Format: VHDL --simulation --tool=ncsim --format=vhdl
Tool: NC-Verilog Format: Verilog --simulation --tool=ncsim --format=verilog
Tool: VCS-MX --simulation --tool=vcsmx
Tool: VCS --simulation --tool=vcs
Tool: Verilog-XL --simulation --tool=verilogxl
Tool: Active-HDL --simulation --tool=activehdl
Tool: Riviera-PRO --simulation --tool=rivierapro
Timing Analysis Tool as shown in GUI Command-line Options
Tool: PrimeTime Format: Verilog --timing_analysis --tool=primetime --format=verilog
Board Level Symbol Tool as shown in GUI Command-line Options
Tool: Symbol Generation (ViewDraw) --board_symbol --tool=viewdraw
Board Level Timing Analysis Tool as shown in GUI Command-line Options
Tool: Stamp Generation Format: STAMP --board_timing --format=stamp
Board Level Signal Integrity Tool as shown in GUI Command-line Options
Tool: IBIS Generation Format: IBIS --board_signal_integrity --format=ibis
Tool: HSPICE Generation Format: HSPICE --board_signal_integrity --format=hspice
Resynthesis Tool as shown in GUI Command-line Options
Tool: Blast FPGA --resynthesis --tool=blast_fpga
Tool: Amplify --resynthesis --tool=amplify
Tool: Precision Physical --resynthesis --tool=precision
Formal Verification Tool as shown in GUI Command-line Options
Tool: Conformal LEC --formal_verification --tool=conformal
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–33
quartus_eda

--user_compiled_simlib_dir=<NONE>

Option that specifies directory of a pre-compiled simulation library.

--vcd_tb_design_instance_name=<NONE>

Option to specify the hierarchical path to the instance of the design in the testbench. This value is used in the VCD generation script
The value should be an absolute hierarchical path. For example "--vcd_tb_instance_name=/tb/u1".

--vcd_type=<NONE>

Option to specify the type of VCD output file This option can take three possible values:
all no_comb none
This option overrides the settings specified in the Quartus II Settings File (.qsf).
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–34 Chapter 2: Command-line Executables

quartus_fit

quartus_fit
The Quartus® II Fitter performs place and route by fitting the logic of a design into a device. The Fitter selects appropriate interconnection paths, pin assignments, and logic cell assignments.
Quartus II Analysis & Synthesis must be run successfully before running the Fitter.

Usage

quartus_fit [-h | --help[=<option|topic>] | -v]
quartus_fit <project name> [<options>]
This command supports the following options:
Option Page
-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--check_ios............................................................................................................................................... 2–35
--check_netlist......................................................................................................................................... 2–35
--early_timing_estimate[=<realistic|optimistic|pessimistic>]....................................................... 2–35
--effort=<standard|fast|auto>............................................................................................................ 2–35
--fmax=<time unit> ............................................................................................................................... 2–36
--help[=<option|topic>] ....................................................................................................................... 2–93
--incremental_signaltap......................................................................................................................... 2–36
--inner_num=<value> ........................................................................................................................... 2–36
--io_smart_recompile............................................................................................................................. 2–37
--lower_priority ...................................................................................................................................... 2–93
--one_fit_attempt[=on|off]................................................................................................................... 2–37
--optimize_io_register_for_timing[=on|off]...................................................................................... 2–37
--pack_register=<off|normal|minimize_area|minimize_area_with_chains|auto>.................. 2–37
--part=<device part> ............................................................................................................................. 2–37
--read_settings_files[=on|off].............................................................................................................. 2–98
--rev=<revision name>.......................................................................................................................... 2–96
--seed=<value>....................................................................................................................................... 2–38
--set=<assignment=value> ................................................................................................................... 2–96
--signalprobe........................................................................................................................................... 2–38
--tco=<time unit> ................................................................................................................................... 2–38
--tdc[=on|off] ......................................................................................................................................... 2–38
--tpd=<time unit> .................................................................................................................................. 2–39
--tsu=<time unit>................................................................................................................................... 2–39
--version................................................................................................................................................... 2–93
--write_settings_files[=on|off]........................................................................................................... 2–100
This command includes help on the following topics:
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–35
quartus_fit
Help Topic Page
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95

--check_ios

Option to run until I/O placement is determined. This process includes placing all blocks in the periphery, such as PLLs, serializers, deserializers, and gigabit transceiver blocks (GXB).
The report file and the floorplan display I/O placement results. If all pins cannot be placed, the report file and floorplan display partial placement, results, and error messages to indicate why placement failed.
The "--check_ios" option should not be used when you use the "--io_smart_recompile" option. For example, after doing a complete place and route, if you change an I/O standard, it is advisable to use the "--io_smart_recompile" option, because the "--check_ios" option destroys the original place and route results.

--check_netlist

Option to run only legality checking on the current netlist. Analysis & Synthesis (quartus_map) must be run successfully before you use this option. Currently changes made to placement or routing are not verified -- only functional changes (for example, I/O standards) are checked.
This option can be used to verify that the netlist is legal after you make changes using the Chip Editor.

--early_timing_estimate[=<realistic|optimistic|pessimistic>]

Option to run an Early Timing Estimate. An Early Timing Estimate is an estimate of timing results for your design before performing full placement and routing. This feature runs the fitter up to 10 times faster than a full fit and generates a full timing report based on estimated delays for the design. The fit is not fully optimized or routed, and hence the timing report is only an estimate. Typically, the estimated delays are within 20% of what a full compilation can achieve.
The following table describes the types of timing estimates:
Value Description
realistic Estimates delays that will likely be close to a full compilation's results. (default value)
optimistic Estimates delays that are lower than those likely to be achieved by a full compilation.
This makes the estimate of performance optimistic.
pessimistic Estimates delays that are higher than those likely to be achieved by a full compilation.
This makes the estimate of performance pessimistic.
All Early Timing Estimate types have the same reduction of compilation time.
After successfully running "quartus_fit --early_timing_estimate," "quartus_tan --timing_analysis_only" must be run to generate a timing report.

--effort=<standard|fast|auto>

Option to specify the level of effort you want the Fitter to use.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–36 Chapter 2: Command-line Executables
quartus_fit
The following table describes level of effort values:
Value Description
standard Directs the Fitter not to decrease effort. Preserves fmax but does not decrease
compilation time.
fast Directs the Fitter to decrease effort. Decreases compilation time by up to 50%, with a
possible reduction in fmax.
auto Directs the Fitter to reduce effort after meeting timing requirements. Decreases
compilation time only when timing and fitting requirements can be met.

--fmax=<time unit>

Option to specify the fmax time value.
Fmax is the minimum acceptable clock frequency, that is, the maximum clock frequency that can be achieved without violating internal setup and hold time requirements.
Example usage:
quartus_fit one_wire --fmax=155.55mhz
The format is "<floating point time value><time unit>". In this example, "155.55" is the <floating point time value> and "mhz" is the <time unit>.
The following table displays possible time units:
Time Unit Description
s second(s)
ms millisecond(s)
us microsecond(s)
ns nanosecond(s)
ps picosecond(s)
fs femtosecond(s)
hz hertz
khz kilohertz
mhz megahertz
ghz gigahertz

--incremental_signaltap

Option to perform an incremental SignalTap® II compilation. Use this option when only SignalTap settings have changed since the last compilation.
This option cannot be used with most of the other quartus_fit options.

--inner_num=<value>

Option to specify a value for the loop multiplier "inner_num" used during placement. Analysis & Synthesis (quartus_map) must be run successfully before you use this option. Use of a higher value increases compilation time, but may increase the quality of placement.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–37
quartus_fit

--io_smart_recompile

Option to recompile the design for changed I/O assignments without repeating the entire Fitter flow. Analysis & Synthesis (quartus_map) must be run successfully before you use this option. You can recompile only with I/O assignment changes.
This option allows you to recompile the design quickly because only I/O changes and legality checks are run to determine if the new I/O assignments are compatible with the current post-fitting netlist.

--one_fit_attempt[=on|off]

Option to perform only one fitting attempt, giving a no fit if that attempt fails. When this option is turned off, the Fitter may perform additional attempts.

--optimize_io_register_for_timing[=on|off]

Option to optimize I/O register placement for timing. This option is used for timing-driven compilation.

--pack_register=<off|normal|minimize_area|minimize_area_with_chains|auto>

Option to implement register packing for appropriate pairs of registers and logic functions.
The following table describes register packing values:
Value Description
off The Fitter does not attempt to place a pair of logic functions in a single logic cell.
normal The Fitter places both a combinational and a sequential operation in a logic cell when
it is expected that the placement will not affect performance.
minimize area The Fitter aggessively combines unrelated sequential and combinational functions
into a single logic cell to reduce the logic element count, even at the expense of performance.
minimize area with chains The Fitter aggressively combines sequential and combinational functions that are part
of arithmetic or register cascade chains, or that can be converted to register cascade chains.
auto The Fitter automatically chooses the best method to fit the design.
The following table displays the device families that support the various values:
Value Device Family
off All
normal All
minimize_area All
minimize_area_with_chains Cyclone, Cyclone II, Stratix, Stratix II
auto Cyclone II, Stratix II

--part=<device part>

Option to use the specified device.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–38 Chapter 2: Command-line Executables
quartus_fit
This option overrides the settings specified in the Quartus II Settings File (.qsf) or the part used in Analysis & Synthesis (quartus_map). The specified part must be in the same device family used in Analysis & Synthesis.

--seed=<value>

Option to use the specified seed value.
The Fitter uses the seed as the initial placement configuration when attempting to optimize the design's timing requirements, including fmax.

--signalprobe

Option to perform an incremental SignalProbe™ compilation. Use this option when only SignalProbe settings have changed since the last compilation.
This option cannot be used with most of the other quartus_fit options.

--tco=<time unit>

Option to specify the tco time value.
Tco is the maximum acceptable clock to output delay to the output pin. The clock to output delay is the time required to obtain a valid output at an output pin that is fed by a register after a clock signal transition on an input pin that clocks the register. This time always represents an external pin-to-pin delay.
Example usage:
quartus_fit one_wire --tco=10.55ns
The format is "<floating point time value><time unit>". In the example, "10.55" is the <floating point time value> and "ns" is the <time unit>.
The following table displays possible time units:
Time Unit Description
s second(s)
ms millisecond(s)
us microsecond(s)
ns nanosecond(s)
ps picosecond(s)
fs femtosecond(s)
hz hertz
khz kilohertz
mhz megahertz
ghz gigahertz

--tdc[=on|off]

Option to use timing-driven compilation. This option optimizes place and route based on timing information.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–39
quartus_fit

--tpd=<time unit>

Option to specify the tpd time value.
Tpd is the maximum acceptable input to non-registered output delay, that is, the time required for a signal from an input pin to propagate through combinatorial logic and appear at an output pin.
Example usage:
quartus_fit one_wire --tpd=20.55ns
The format is "<floating point time value><time unit>". In this example, "20.55" is the <floating point time value> and "ns" is the <time unit>.
The following table displays possible time units:
Time Unit Description
s second(s)
ms millisecond(s)
us microsecond(s)
ns nanosecond(s)
ps picosecond(s)
fs femtosecond(s)
hz hertz
khz kilohertz
mhz megahertz
ghz gigahertz

--tsu=<time unit>

Option to specify the tsu time value.
Tsu is the maximum acceptable clock setup time for the input (data) pin. The setup time is the length of time for which data that feeds a register via its data or enable input(s) must be present at an input pin before the clock signal that clocks the register is asserted at the clock pin.
Example usage:
quartus_fit one_wire --tsu=7.55ns
The format is "<floating point time value><time unit>". In this example, "7.55" is the <floating point time value> and "ns" is the <time unit>.
The following table displays possible time units:
Time Unit Description
s second(s)
ms millisecond(s)
us microsecond(s)
ns nanosecond(s)
ps picosecond(s)
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–40 Chapter 2: Command-line Executables
quartus_fit
Time Unit Description
fs femtosecond(s)
hz hertz
khz kilohertz
mhz megahertz
ghz gigahertz
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–41

quartus_jbcc

quartus_jbcc
The Quartus® II JAM Compiler converts Jam/STAPL files (JAM) to Jam/STAPL Byte Code files (JBC).

Usage

quartus_jbcc [-h | --help[=<option|topic>] | -v]
quartus_jbcc [-c] [-d] <input.jam> <output.jbc>
This command supports the following options:
Option Page
-c ............................................................................................................................................................... 2–41
-d............................................................................................................................................................... 2–41
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--compress............................................................................................................................................... 2–41
--debug..................................................................................................................................................... 2–41
--help[=<option|topic>] ....................................................................................................................... 2–93
--lower_priority ...................................................................................................................................... 2–93
--version................................................................................................................................................... 2–93
This command includes help on the following topics:
Help Topic Page
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95
-c
Refer to the help for --compress on page 2–41
-d
Refer to the help for --debug on page 2–41

--compress

Enable compression of large boolean arrays.

--debug

Enable inclusion of debug information.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–42 Chapter 2: Command-line Executables

quartus_jli

quartus_jli
The Quartus® II JBI Player executes Jam/STAPL files (JBC).

Usage

quartus_jli [-h | --help[=<option|topic>] | -v]
quartus_jli -n --- list available hardware
quartus_jli -i <jam file> --- list available actions
quartus_jli [-c <cable>] <jam/jbc file> -a <action> [-d <proc>] [-e <proc>]
This command supports the following options:
Option Page
-a=<action to perform> ......................................................................................................................... 2–42
-c=<jtagserver cable number>.............................................................................................................. 2–43
-d=<procedure to disable> ................................................................................................................... 2–43
-e=<procedure to enable>..................................................................................................................... 2–43
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-i................................................................................................................................................................ 2–43
-j ................................................................................................................................................................ 2–43
-l................................................................................................................................................................ 2–43
-n............................................................................................................................................................... 2–43
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--action=<action to perform> ............................................................................................................... 2–43
--cable=<jtagserver cable number>..................................................................................................... 2–43
--disable=<procedure to disable>........................................................................................................ 2–43
--dont_reset_jtag..................................................................................................................................... 2–43
--enable=<procedure to enable>.......................................................................................................... 2–43
--enumerate_hardware.......................................................................................................................... 2–44
--help[=<option|topic>] ....................................................................................................................... 2–93
--info......................................................................................................................................................... 2–44
--loquacious............................................................................................................................................. 2–44
--lower_priority ...................................................................................................................................... 2–93
--version................................................................................................................................................... 2–93
This command includes help on the following topics:
Help Topic Page
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95

-a=<action to perform>

Refer to the help for --action=<action to perform> on page 2–43
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–43
quartus_jli

-c=<jtagserver cable number>

Refer to the help for --cable=<jtagserver cable number> on page 2–43

-d=<procedure to disable>

Refer to the help for --disable=<procedure to disable> on page 2–43

-e=<procedure to enable>

Refer to the help for --enable=<procedure to enable> on page 2–43
-i
Refer to the help for --info on page 2–44
-j
Refer to the help for --dont_reset_jtag on page 2–43
-l
Refer to the help for --loquacious on page 2–44
-n
Refer to the help for --enumerate_hardware on page 2–44

--action=<action to perform>

Option to specify the action to perform.
Use -i to display the actions supported by the Jam/STAPL ByteCode file.

--cable=<jtagserver cable number>

Specify which cable connected to the local JTAGserver to use.
Use -n to list available hardware.

--disable=<procedure to disable>

Option to specify the procedure to disable (-d <procedure to disable> or --disable==<procedure to disable>).
Use -i to display an action's procedures.

--dont_reset_jtag

Disables resetting the JTAG TAP controller after execution is completed.

--enable=<procedure to enable>

Option to specify the procedure to perform (-e <procedure to enable> or --enable==<procedure to enable>).
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–44 Chapter 2: Command-line Executables
Use -i to display an procedures for a given action.
quartus_jli

--enumerate_hardware

Display available JTAG hardware.

--info

Displays information on the Jam/STAPL ByteCode file

--loquacious

Displays verbose information while executing the Jam/STAPL ByteCode file
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–45

quartus_map

quartus_map
Quartus® II Analysis & Synthesis builds a single project database that integrates all the design files in a design entity or project hierarchy. Analysis & Synthesis includes Quartus II Integrated Synthesis, which provides comprehensive Verilog HDL and VHDL language support, as well as support for Altera-specific languages such as AHDL.

Usage

quartus_map [-h | --help[=<option|topic>] | -v]
quartus_map <project name> [<options>]
This command supports the following options:
Option Page
-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-l=<path>................................................................................................................................................. 2–46
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--analysis_and_elaboration................................................................................................................... 2–46
--analyze_file=<design file>................................................................................................................. 2–46
--convert_bdf_to_verilog=<.bdf file>.................................................................................................. 2–46
--convert_bdf_to_vhdl=<.bdf file>...................................................................................................... 2–46
--effort=<auto|fast> .............................................................................................................................. 2–46
--enable_wysiwyg_resynthesis[=on|off] ........................................................................................... 2–46
--family=<device family> ..................................................................................................................... 2–47
--generate_cmp_file=<design file>...................................................................................................... 2–47
--generate_functional_sim_netlist ....................................................................................................... 2–47
--generate_inc_file=<design file> ........................................................................................................ 2–47
--generate_inst_file=<design file>....................................................................................................... 2–47
--generate_symbol=<design file>........................................................................................................ 2–47
--help[=<option|topic>] ....................................................................................................................... 2–93
--ignore_carry_buffers[=on|off].......................................................................................................... 2–47
--ignore_cascade_buffers[=on|off] ..................................................................................................... 2–47
--incremental_compilation=<off|full_incremental_compilation>................................................. 2–47
--lib_path=<path>.................................................................................................................................. 2–48
--lower_priority ...................................................................................................................................... 2–93
--optimize=<area|speed|balanced> .................................................................................................. 2–48
--parallel[=on|off].................................................................................................................................. 2–48
--part=<device>...................................................................................................................................... 2–48
--partition=<NONE>............................................................................................................................. 2–48
--rev=<revision name>.......................................................................................................................... 2–96
--set=<assignment=value> ................................................................................................................... 2–96
--source=<source file>........................................................................................................................... 2–49
--state_machine_encoding=<auto|minimal_bits|one_hot|user_encoded>................................ 2–49
--update_wysiwyg_parameters ........................................................................................................... 2–49
--verilog_macro=<NONE>................................................................................................................... 2–49
--version................................................................................................................................................... 2–93
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–46 Chapter 2: Command-line Executables
This command includes help on the following topics:
Help Topic Page
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95
quartus_map

-l=<path>

Refer to the help for --lib_path=<path> on page 2–48

--analysis_and_elaboration

Option to check all the design files in a design for syntax and semantic errors, and perform a netlist extraction.

--analyze_file=<design file>

Option to check the specified design file for syntax and semantic errors.

--convert_bdf_to_verilog=<.bdf file>

Option to create a Verilog Design File (.v) for the specified Block Design File (.bdf).

--convert_bdf_to_vhdl=<.bdf file>

Option to create a VHDL Design File (.vhd) for the specified Block Design File (.bdf).

--effort=<auto|fast>

Option to select synthesis effort level.
The following table displays available values:
Value Description
auto Maximum synthesis effort. This is the default value.
fast Synthesis process is streamlined to improve runtime at the cost of design performace and/or
resource usage. Use this option when the Fitter early_timing_estimate mode is used, or when a fast-synthesis compilation is needed without the need to run the Fitter. When this option is used with the regular Fitter, Fitter performance may decrease as fast-synthesis netlists take longer to route.

--enable_wysiwyg_resynthesis[=on|off]

Option to unmap WYSIWYG primitives during synthesis and remap the gates back to WYSIWYG LCELL primitives.
This option is not applicable if Quartus
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
®
II Integrated Synthesis is used.
Chapter 2: Command-line Executables 2–47
quartus_map

--family=<device family>

Option to target the specified device family. If the "--part" option is not used, the part is set to Auto.
The family name should not contain any spaces, for example, --family=APEXII. If you need to add space between words in the family name, make sure that you enclose the words in double quotation marks "", for example, --family="APEX II".

--generate_cmp_file=<design file>

Option to create a default VHDL Component File (.cmp) that represents the entities in the specified Text Design File (.tdf), VHDL Design File (.vhd), Verilog Design File (.v), EDIF Input File (.edf), or Block Design File (.bdf), CusP file (.cpp) and MATLAB File (.mdl).

--generate_functional_sim_netlist

Option to prepare the databases necessary for functional simulation.

--generate_inc_file=<design file>

Option to create a default AHDL Include File (.inc) that represents the entities in the specified Text Design File (.tdf), VHDL Design File (.vhd), Verilog Design File (.v), EDIF Input File (.edf), or Block Design File (.bdf).

--generate_inst_file=<design file>

Option to create a default Verilog Instantiation File (.inst) that represents the entities in the specified Text Design File (.tdf), VHDL Design File (.vhd), Verilog Design File (.v), EDIF Input File (.edf), Block Design File (.bdf), CusP file (.cpp) or MATLAB file (.mdl).

--generate_symbol=<design file>

Option to create a Block Symbol File (.bsf) that represents the entities in the specified Text Design File (.tdf), VHDL Design File (.vhd), Verilog Design File (.v), EDIF Input File (.edf), or Block Design File (.bdf).

--ignore_carry_buffers[=on|off]

Option to ignore CARRY_SUM buffers that are instantiated in the design. (This option also applies to MAX+PLUS II-style CARRY buffers.)

--ignore_cascade_buffers[=on|off]

Option to ignore CASCADE buffers that are instantiated in the design.

--incremental_compilation=<off|full_incremental_compilation>

Option to specify the incremental compilation mode.
The following table displays available values:
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–48 Chapter 2: Command-line Executables
Value Description
off Turn off incremental compilation.
incremental_synthesis Turn on incremental compilation for synthesis only. Also known as incremental
synthesis which is previously the incr_synth option.
full_incremental_compilation Turn on full incremental compilation.
quartus_map

--lib_path=<path>

Option to use the specified library paths to find the design files of the project. For multiple library paths, use --lib_path=path1 --lib_path=path2 or --lib_path="path1;path2".

--optimize=<area|speed|balanced>

Option to optimize the design to achieve maximum speed performance, minimum area usage, or high speed performance with miminal area cost during synthesis.
The following table displays available values:
Value Description
area Makes the design as small as possible in order to minimize resource usage.
speed Chooses a design implementation that has the fastest fmax.
balanced Chooses a design implementation that has a high-speed performance with minimal
logic usage.
Note that the current version of the Quartus following devices:
Mercury MAX 7000B/7000AE/3000A/7000S/7000A FLEX 6000, FLEX 10K(R), FLEX 10KE/10KA ACEX 1K.
®
II software does not support the "balanced" setting for the

--parallel[=on|off]

Runs quartus_map in a mode that enables parallel synthesis of partitions using the number of processors specified by the Quartus II parallel compilation option.

--part=<device>

Option to target the specified device. This option overrides the "--family" option or family assignment.

--partition=<NONE>

Specifies a partition to synthesize manually. This option overrides the netlist type and preservation level of the partition and disables any automatic resynthesis of other partitions, even if they require synthesis because of changes to your design.
You can specify a partition ID or name. For example, the root partition has ID 0 and name “Top”. To synthesize multiple partitions, use a separate option for each partition.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–49
quartus_map

--source=<source file>

Option to use the specified source file. Add only one source file per tag. For multiple source files, use "--source=file1 --source=file2".
If you specify a relative path, the path must be relative to the project directory.

--state_machine_encoding=<auto|minimal_bits|one_hot|user_encoded>

Option to set the state machine processing style used to compile a state machine.
The following table displays available values:
Value Description
auto Allows Analysis & Synthesis to choose the best encoding for the state machine.
minimal_bits Uses the minimal number of bits to encode the state machine.
one_hot Encodes the state machine in the one-hot style.
user_encoded Encodes the state machine in the manner specified by the user.

--update_wysiwyg_parameters

Option to update, when possible, the parameters of a changed WYSIWYG PLL or CDR primitive in the Compiler netlists. This option assumes that the previous compilation was successful.
When you use this option, the quartus_map executable gives a message stating the next executable you need to run in order to complete a compilation, quartus_fit or quartus_asm. If it is not possible to update the parameters, the quartus_map executable runs normally and gives a message that you need to run quartus_fit.
When you use this option, all assignment changes you made since the last compilation are lost.

--verilog_macro=<NONE>

Option to set a Verilog macro. Use the following format:
--verilog_macro="my_macro=2"
--verilog_macro="SUM(a,b)=(a+b)"
--verilog_macro="my_str_macro=\"string2\""
Those are equivalent to the following `define statements:
`define my_macro 2 `define SUM(a,b) (a+b) `define my_str_macro "string2"
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–50 Chapter 2: Command-line Executables

quartus_pgm

quartus_pgm
The Quartus® II Programmer programs Altera® devices. The Programmer uses one of the valid supported file formats: Programmer Object Files (.pof), SRAM Object Files (.sof), Jam File (.jam), or Jam Byte-Code File (.jbc).
Make sure you specify a valid programming mode, programming cable, and operation for a given device.

Usage

quartus_pgm [-h | --help[=<option|topic>] | -v]
quartus_pgm -c <cable name> filname.cdf --- If you want to use cdf file
quartus_pgm -c <cable name> -m <programming mode> -o <value> [-o <value>...] --- If you want to use individual programming file(s)
quartus_pgm -l --- to display the list of available hardware
quartus_pgm -c <cable name> -a --- to display the list of devices connected to the cable
This command supports the following options:
Option Page
-a ............................................................................................................................................................... 2–51
-b............................................................................................................................................................... 2–51
-c=<cable name> .................................................................................................................................... 2–51
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-i................................................................................................................................................................ 2–51
-l................................................................................................................................................................ 2–51
-m=<programming mode>................................................................................................................... 2–51
-o=<programming operation>............................................................................................................. 2–51
-v............................................................................................................................................................... 2–92
-z ............................................................................................................................................................... 2–51
--64bit ....................................................................................................................................................... 2–92
--auto........................................................................................................................................................ 2–51
--bgp......................................................................................................................................................... 2–51
--cable=<cable name>............................................................................................................................ 2–51
--haltcc ..................................................................................................................................................... 2–52
--help[=<option|topic>] ....................................................................................................................... 2–93
--initcfg..................................................................................................................................................... 2–52
--list .......................................................................................................................................................... 2–52
--lower_priority ...................................................................................................................................... 2–93
--mode=<programming mode>........................................................................................................... 2–52
--operation=<programming operation>............................................................................................. 2–52
--version................................................................................................................................................... 2–93
This command includes help on the following topics:
Help Topic Page
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–51
quartus_pgm
-a
Refer to the help for --auto on page 2–51
-b
Refer to the help for --bgp on page 2–51

-c=<cable name>

Refer to the help for --cable=<cable name> on page 2–51
-i
Refer to the help for --initcfg on page 2–52
-l
Refer to the help for --list on page 2–52

-m=<programming mode>

Refer to the help for --mode=<programming mode> on page 2–52

-o=<programming operation>

Refer to the help for --operation=<programming operation> on page 2–52
-z
Refer to the help for --haltcc on page 2–52

--auto

Option to detect and display all the devices in the device chain.

--bgp

Allows a MAX II device to continue to run in-system while new programming data loads into the configuration flash memory (CFM). When you turn on this option, programming data loaded into the CFM does not immediately configure the device.

--cable=<cable name>

Option to specify which programming hardware or cable to use.
The full syntax is as follows (depending on whether the hardware is on your local machine or a remote machine):
"<cable_name> [<port>]" "<cable_name> on <host_name/IP_address> [<port>]"
You don't need to specify the hostname or port if they are unambiguous so just specifying the name of the cable will be sufficient if there is only one cable of that type available (on a local or remote machine).
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–52 Chapter 2: Command-line Executables
quartus_pgm
The following syntax is supported for backward compatibility, as is the cable index (the number returned by jtagconfig to identify a cable):
<host_name/IP_address>::<cable_name>[<port>]@<baud_rate>
Examples:
ByteBlasterMV "byteblaster [lpt1]" "USB-Blaster on remote-machine [com1]" APU

--haltcc

Halts the on-chip auto-configuration controller of the device to allow programming via the JTAG interface.

--initcfg

Specifies that configuration devices will configure attached devices automatically after the Programmer finishes programming the configuration devices.

--list

Option to display all the available programming hardware cables.

--mode=<programming mode>

Option to specify which programming mode to use.
Use one of the following programming mode values:
Value Mode
JTAG JTAG programming
PS Passive Serial programming
AS Active Serial programming
SD In-Socket programming

--operation=<programming operation>

Option to specify which programming operation(s) to perform on the device(s).
Use the following syntax for each device in a device chain:
-o <options>;<input_file>@<device_index>
NOTE: The device index starts with 1.
Exceptions to this syntax occur when you use the following options:
-o E;<output_file>;<device_name>@<device_index>
-o S;<device_name/input_file>@<device_index>
<options> must be one of the following combinations:
P, BP, PV, BPV, PL, BPL, PVL, BPVL CP, CBP, CPV, CBPV, CPL, CBPL, CPVL, CBPVL IP, IBP, IPV, IBPV,
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–53
quartus_pgm
V, CV, VL, CVL, IV
B, CB, IB
R, RB, CR, CRB, IR, IRB
E, CE, IE
L, CL
S
in which:
Option Description
P Program
R Erase
L Lock/Security Bit
I Initialize Bridge Device*
VVerify
B Blank-check
C ISP Clamp
E Examine**
S Skip/Bypass**
* Serial FLASH Loader option only ** Cannot be used in combination with other options
Note: Specifying a <device_index> is optional, but if you specify a <device_index> for one device, you must specify a <device_index> for all devices. You cannot specify a <device_index> for devices in a Passive Serial chain. Each device in a multi-device chain must have a corresponding -o construction.
Examples
Behavior Option Syntax
JTAG Program -o pvb;file.pof -o pvbi;file.jic
JTAG Examine -o e;file.pof;device_name -o ei;file.jic;device_name
Skip Device (JTAG Bypass -o s;device_name
Passive Serial Program -o file.sof
Active Serial Program -o pl;file.pof
Passive Serial Chain -o file1.sof -o file2.sof -o file3.sof
JTAG Chain -o p;file1.pof -o s;file2.pof -o v;file1.pof@1 -o p;file2.pof@2
CDF quartus_pgm -c byteblastermv[lpt1] file.cdf
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–54 Chapter 2: Command-line Executables

quartus_pow

quartus_pow
The Quartus II PowerPlay Power Analyzer estimates the thermal dynamic power and the thermal static power consumed by the design. For newer families such as Stratix II and MAX II, the current drawn from each power supply is also estimated.
Quartus II Analysis & Synthesis and the Fitter must be run successfully before running the PowerPlay Power Analyzer.

Usage

quartus_pow [-h | --help[=<option|topic>] | -v]
quartus_pow <project name> [<options>]
This command supports the following options:
Option Page
-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--default_input_io_toggle_rate=<toggle rate value>........................................................................ 2–55
--default_toggle_rate=<toggle rate value>......................................................................................... 2–55
--estimate_power[=on|off]................................................................................................................... 2–55
--help[=<option|topic>] ....................................................................................................................... 2–93
--input_saf[=<SAF Filename>]............................................................................................................. 2–55
--input_vcd[=<VCD Filename>].......................................................................................................... 2–56
--lower_priority ...................................................................................................................................... 2–93
--no_input_file ........................................................................................................................................ 2–56
--output_epe=<EPE Filename>............................................................................................................ 2–56
--output_saf=<SAF Filename>............................................................................................................. 2–56
--read_settings_files[=on|off].............................................................................................................. 2–98
--rev=<revision name>.......................................................................................................................... 2–96
--set=<assignment=value> ................................................................................................................... 2–96
--use_vectorless_estimation[=on|off]................................................................................................. 2–56
--vcd_filter_glitches[=on|off] .............................................................................................................. 2–57
--version................................................................................................................................................... 2–93
--voltage=<value_in_mV>.................................................................................................................... 2–57
--write_settings_files[=on|off]........................................................................................................... 2–100
This command includes help on the following topics:
Help Topic Page
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–55
quartus_pow

--default_input_io_toggle_rate=<toggle rate value>

Option to specify a default toggle rate to be used on input I/O pin signals during power analysis. This value is used if an input I/O pin's toggle rate is not specified by some other mean such as an input file or user assignment. To specify a default toggle rate for all other signals in the design use the
--default_toggle_rate command line option.
This value can be specified as a percenatge or an absolute value. If specified as an absolute value, the unit is transitions/s.
Examples
--default_input_io_toggle_rate=12.5%
--default_input_io_toggle_rate=150000transitions/s
--default_input_io_toggle_rate="150000 transitions/s"
This command line option can only appear once. If this command line option is not used, then the value stored in the Quartus II Settings File (.qsf) is used to determine the default input I/O pin toggle rate.
Note: The default static probability value used by the PowerPlay Power Analyzer is 0.5.

--default_toggle_rate=<toggle rate value>

Option to specify a default toggle rate to be used for all output signals except input I/O pin signals during power analysis. This value is used if a signal's toggle rate is not specified by some other mean such as an input file or user assignment and vectorless estimation should not be used.
This value is specified as a percenatge or as an absolute value. If specified as an absolute value, the unit is transitions/s.
Examples
--default_toggle_rate=12.5%
--default_toggle_rate=150000transitions/s
--default_toggle_rate="150000 transitions/s"
This command line option can only appear once. If this command line option is not used, then the value stored in the Quartus II Settings File (.qsf) is used to determine the default toggle rate.
Note: The default static probability value used by the PowerPlay Power Analyzer is 0.5.

--estimate_power[=on|off]

Option to specify whether a power estimate should be produced.
Specifying a value of "off" reduces processing time. For example, specify the value "off" for this option if the only desired action is to process a Value Change Dump (VCD) file to produce a Signal Activity File (SAF).
By default, a power estimate is produced.

--input_saf[=<SAF Filename>]

Option to use the specified Signal Activity File (.saf) as input. The SAF contains toggle rates and static probabilities for output signals in the design. If no filename is specified then the filename stored in the Quartus II Settings File (.qsf) is used or if no filename exists in thethe QSF, then <revision name>.saf is used. This command line option can only appear once.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–56 Chapter 2: Command-line Executables
The input_saf option should not be used if either the no_input_file or input_vcd option is specified. If neither the no_input_file, input_saf or input_vcd option is specified, then the settings in the QSF are used to determine the behavior of the Power Analyzer.
quartus_pow

--input_vcd[=<VCD Filename>]

Option to use the specified VCD File (.vcd) as input. If no filenames are specified then the filenames stored in the Quartus II Settings File (.qsf) are used or if no filenames exist in the QSF, then <revision name>.vcd will be used. This command line option can appear multiple times in the case that multiple VCD files are required.
The input_vcd option should not be used if either the no_input_file or input_saf option is specified. If none of the no_input_file, input_saf or input_vcd option is specified, then the settings in the QSF are used to determine the behavior of the Power Analyzer.

--no_input_file

Option to instruct the Power Analyzer not to use an input file to initialize the toggle rates and static probabilities for output signals in the design.
The no_input_file option should not be used if either the input_saf or input_vcd option is specified. If neither the no_input_file, input_saf or input_vcd option is specified, then the settings in the Quartus II Settings File (.qsf) are used to determine the behavior of the Power Analyzer.

--output_epe=<EPE Filename>

Option to write an Early Power Estimation file, summarizing the resources used by the design. The file can be used to import design information into the PowerPlay Early Power Estimator spreadsheet available from the Altera website.
The design must be compiled before the Early Power Estimator file can be written.
This command line option may only appear once.

--output_saf=<SAF Filename>

Option to write out the toggle rates and static probabilities used by the Power Analyzer during the power analysis to the specified Signal Activity File (.saf). This command line option can only appear once.

--use_vectorless_estimation[=on|off]

Option to specify whether or not vectorless estimation should be used to calculate unspecified toggle rates and static probabilities for the output signals in the design. If set to "on" then vectorless estimation is used by the PowerPlay Power Analyzer and the --default_toggle_rate command line option or the value stored in the Quartus II Settings File (.qsf) will be ignored. If set to "off" then the PowerPlay Power Analyzer uses the value specified by the command line option --default_toggle_rate or the value stored in the Quartus II Settings File (.qsf) as the default toggle rate.
This command line option can only appear once. If this command line option is not used, then the value stored in the Quartus II Settings File (.qsf) is used to determine whether or not vectorless estimation is used. This command line option only applies to the Stratix II and MAX II families and is ignored for all other families. For all other families the behaviour is equivalent to this command line option being set to "off".
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–57
quartus_pow
Note: Regardless of the setting of this option, all unspecified toggle rates for input I/O pin signals use the default toggle rate specified by either the command line option --default_input_io_toggle_rate or the value stored in the Quartus II Settings File (.qsf).
The default static probability value used by the PowerPlay Power Analyzer is 0.5.

--vcd_filter_glitches[=on|off]

Option to use glitch filtering when reading VCD Files (.vcd) as input. This command line option can only appear once. If this command line option is not used, the value stored in the Quartus II Settings File (.qsf) is used to determine whether or not glitch filtering is used when reading VCD Files. This option has no effect if the "input_vcd" option is not used.

--voltage=<value_in_mV>

Option to specify the device voltage (mV) when running the PowerPlay Power Analyzer.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–58 Chapter 2: Command-line Executables

quartus_sh

quartus_sh
The Quartus® II Shell is a simple Quartus II Tcl interpreter. The Shell has a smaller memory footprint than the other command-line executables that support Tcl: quartus_tan, quartus_cdb, and quartus_sim. The Shell can be started with a Tcl script to evaluate, used as an interactive Tcl interpreter (shell), or used as a quick Tcl command evaluator, evaluating the remaining command-line arguments as one or more Tcl commands.

Usage

quartus_sh [-h | --help[=<option|topic>] | -v]
quartus_sh -g | --gui [<project_name>]
quartus_sh <other options>
quartus_sh -t <script file> [<script args>]
quartus_sh -s
quartus_sh --tcl_eval <tcl command>
This command supports the following options:
Option Page
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-s ............................................................................................................................................................. 2–102
-t=<script file> ...................................................................................................................................... 2–102
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--archive................................................................................................................................................... 2–59
--determine_smart_action..................................................................................................................... 2–60
--dse.......................................................................................................................................................... 2–61
--dtw......................................................................................................................................................... 2–65
--flow........................................................................................................................................................ 2–65
--help[=<option|topic>] ....................................................................................................................... 2–93
--lower_priority ...................................................................................................................................... 2–93
--prepare.................................................................................................................................................. 2–66
--qboard ................................................................................................................................................... 2–66
--qhelp...................................................................................................................................................... 2–66
--qinstall................................................................................................................................................... 2–67
--qslave..................................................................................................................................................... 2–67
--relcon..................................................................................................................................................... 2–68
--restore.................................................................................................................................................... 2–68
--script=<script file> ............................................................................................................................ 2–102
--set........................................................................................................................................................... 2–69
--shell...................................................................................................................................................... 2–102
--simlib_comp......................................................................................................................................... 2–69
--tcl_eval=<tcl command>.................................................................................................................. 2–103
--version................................................................................................................................................... 2–93
This command includes help on the following topics:
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–59
quartus_sh
Help Topic Page
Tcl ........................................................................................................................................................... 2–103
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95

--archive

Option to generate a Quartus II Archive File (.qar) for your project that contains specific sets of files.
Usage
quartus_sh --archive [<options>] <project name>
Available options
-use_file_set <value> Specify the archive file set ID to use. By default, the "basic" file set ID is used. Use the
-list_file_sets option to view the list of possible file sets.
-list_file_sets List available archive file sets.
-list_files List files to be archived. If not specified, a Quartus II Archive file is generated.
-ascii <file name> When combined with -list_files, this option generates the specified <file name> containing a newline-delimited list of files to be archived.
-no_discover Option not to run Analysis & Elaboration. By default, Analysis & Elaboration is run unless the compiler database already exists for the revision.
-force Forces the archiver to run Analysis & Elaboration and overwrite the compiler database for the revision.
-include_export Include version-compatible database files.
-export Export version-compatible database and include it in the archive file.
-include_output Include full compilation database and output files.
-output <value> Specify the output file name. By default, <revision name>.qar is used. If the file already exists, it is overwritten.
-input <value> Specify the input file name containing a new-line delimited list of files to archive. This option can only be combined with the -output option.
-readme Display the readme file.
-self_test Run a short test on the Quartus II Archive (.qar) file after it is created. The test ensures that the .qar file contains a valid, complete and compilable design.
-fix_qsf Modify the <revision>.qsf file to include all the necessary files in order to properly archive, restore and compile the design. A <revision>.archive.qip file is generated and specified in the <revision>.qsf file.
-all_revisions Create an archive (named <revision>.qar) for each revision in the project.
-revision <value> Specify the revision name. By default, the current project revision is archived.
<project name> Specify the project name.
How to use the -fix_qsf option
The -fix_qsf option performs the following:
1. Performs necessary Analysis & Elaboration
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–60 Chapter 2: Command-line Executables
quartus_sh
2. Adds all files discovered or required by the compiler into your <revision>.qsf file:
quartus_sh --archive -fix_qsf top
You do not need to use the -fix_qsf option again unless you modify the design and add more design files. The .qsf file is now complete with all the required design files. Unless you add new files to the project, you can ask the archive project to always skip Analysis & Elaboration by passing the -no_discover option:
quartus_sh --archive -no_discover top
Examples
# Generate top.qar quartus_sh --archive top
# Export the version-compatible database files # and include them in the top.qar archive quartus_sh --archive -export -output top.qar top
# Generate my_files.qar containing the files listed in my_files.txt quartus_sh --archive -input my_files.txt -output my_files.qar
# Generate top.qar and run a short test to make sure # top.qar contains a valid, complete and compilable design. quartus_sh --archive -self_test top
# Generate top.txt containing a list of files to # archive for the 'top' design. quartus_sh --archive -ascii top.txt -list_files top

--determine_smart_action

Option to open a project and determine the smart action jump.
Usage
quartus_sh --determine_smart_action <project> [-c <revision>]
The smart action is defined as the earliest module in the Compiler flow that needs to be run based on current assignment files.
This option writes out a .chg file depending on what has changed in the source files. For a given quartus_<exe_name>, the associated .chg file name has the format <exe_name>.chg. For example, if quartus_map needs to be rerun, a file named map.chg is created.
If a timing requirement is changed, one of the following files is created or updated:
fit.chg if timing-driven compilation is turned ON, which means that the Fitter subsequent modules
need to be rerun
tan.chg if timing-driven compilation is turned OFF and only the Timing Analyzer needs to be rerun
This option can be used to write a makefile that jumps to the correct module based on changed assignments. For example, the following makefile rules can be used:
qsh.log: project.qpf project.qsf ($SOURCE_FILES) quartus_sh --determine_smart_action project > qsh.log
project.fit.rpt: fit.chg project.map.rpt quartus_fit project
project.map.rpt: map.chg quartus_map project
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–61
quartus_sh

--dse

THE ALTERA DESIGN SPACE EXPLORER (DSE)
The Design Space Explorer (DSE) is a tool for exploring the complex flow parameters in the Quartus software. DSE takes the guess work out of selecting parameter values and helps you determine the optimal Quartus II software settings for a design.
Version
9.0
Synopsis
Usage
quartus_sh --dse [options]
Options:
-archive
-concurrent-compiles [0..6]
-custom-file <filename>
-decision-column <"column name">
-exploration-space <"space">
-ignore-failed-base
-llr-restructuring
-lower-priority
-lsf-queue <queue name>
-nogui
-optimization-goal <"goal">
-project <project name>
-report-all-resource-usage
-revision <revision name>
-run-power
-search-method <"method">
-seeds <seed list>
-skip-base
-slaves <"slave list">
-stop-after-time <dd:hh:mm>
-stop-after-zero-failing-paths
-use-lsf
Note: To use DSE in command-line mode, specify the "-nogui" option. If you do not specify this option, the DSE graphical user interface (GUI) starts, regardless of the other command-line options used.
®
II
quartus_sh --dse
This command launches the DSE GUI.
quartus_sh --dse -nogui -project main
This command starts a default command-line exploration. The default seeds are used along with the default exploration space, optimization goal, and search method.
quartus_sh --dse -nogui -project main -seeds 2,4,8-10
-exploration-space "Extra Effort Search"
This command starts a command-line exploration of an "Extra Effort Search" space using the seeds 2, 4, 8 through 10, the default optimization goal, and the default search method.
Options
-archive
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–62 Chapter 2: Command-line Executables
quartus_sh
Instructs DSE to archive all points during exploration. Without this option turned on, DSE archives only the best compilation. Archives are stored below the design directory in the sub-folder dse/result. In addition to the archive files, a set of *-dse-result.xml files hold the results for each compilation DSE performs on the design. These XML result files are for the internal use of DSE only.
-concurrent-compiles [0..6]
Changes the number of current compilations performed by DSE on your local system. By default DSE performs one compile at a time on your local system; increasing the number of concurrent local compilations can reduce the time it takes to explore a design space but requires additional computing resources and Quartus II licenses. Setting this option to zero prevents DSE from using your local system when running in distributed mode. When running DSE in standalone mode, setting this option to zero has the same effect as setting this option to one.
-custom-file <filename>
Loads the exploration space from a file instead of using a predefined exploration space. See the chapter entitled "Design Space Explorer" in the Quartus II Handbook for more information on custom exploration spaces. This option must be used with the following option:
-exploration-space "Custom Space"
If you do not use this option, the custom space file is ignored.
-decision-column <"column name">
Instructs DSE to use an the <column name> column from the DSE result table when it looks for values to make better/worse decisions. The default column is "Worst-case Slack".
-exploration-space <"space">
Changes the exploration space used by DSE. The default exploration space is "Seed Sweep". To see a list of available exploration spaces, enter an invalid exploration space name (like "foo") or check the list of exploration spaces for your project on the "Advanced" tab in the DSE graphical user interface.
-ignore-failed-base
Instructs DSE to continue exploring the space even if the base compilation fails. This is useful if the design does not fit into a device, and you want to use DSE to explore area-reducing options in the Quartus II software.
-llr-restructuring
Instructs DSE to try softening and even removing LogicLock regions from the design before exploring the space in an effort to maximize the effectiveness of Quartus II synthesis and fitting options.
-lower-priority
Lowers the priority of any thread spawned by DSE to compile a point in your design. This can reduce the impact DSE has on CPU resources while it is exploring a design space.
-lsf-queue <queue name>
Instructs DSE to use a non-default LSF queue at your site when distributing the search of the exploration space. For detailed information on using LSF to distribute the search of an exploration space, please see the chapter entitled "Design Space Explorer" in the Quartus II Handbook.
-nogui
Instructs DSE to operate in command-line mode instead of graphical user interface mode.
-optimization-goal <"goal">
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–63
quartus_sh
Changes the optimization goal used by DSE. The default optimization goal is "Optimize for Speed". To see a list of available optimization goals, enter an invalid optimization goal name (like "foo") or check the list of optimization goals for your project on the "Advanced" tab in the DSE graphical user interface.
-project <project name>
The name of the Quartus II project to use while exploring a space.
-report-all-resource-usage
Instructs DSE to extract all the resouce usage information from your project and report it in the DSE report tables. If this option is not used DSE reports very few resource usage statistics in its tables.
-revision <revision name>
The name of the project revision to use while exploring a space. If left unspecified, DSE will use the default revision in the project.
-run-power
Runs the Quartus II PowerPlay Power Analyzer during exploration to produce power dissipation estimates for the project on every point in the design space. Ensuring that accurate signal activity and operating conditions have been specified for your project is essential to obtaining accurate power estimates for a design. For more information on specifying signal activity and operating conditions please see the chapter entitled "PowerPlay Power Analyzer" in the Quartus II Handbook.
-search-method <method>
Change the search method used by DSE. The default search method is "Accelerated Seach of Exploration Space". The available search methods are:
"Exhaustive Search of Exploration Space"—This method performs an exhaustive search of all
combinations of all Quartus II settings in a design space to find the best combination for your project.
"Accelerated Search of Exploration Space"—This method performs intelligent pruning of an
exploration space to arrive at the optimal combination of Quartus II settings for your project using fewer compiles.
-seeds <seed list>
A list of seeds to sweep as part of the exploration space. DSE accepts a comma separated list and hyphenated ranges of integer seed values. For example:
-seeds 1,2,8-10
would sweep seeds 1 and 2, and seeds 8, 9, and 10.
-skip-base
Instructs DSE to test your base project before trying to analyze or compile the specified revision. If the revision has already been compiled successfully, DSE will skip its own compilation of the base project. If DSE cannot determine if the base compilation can be skipped, it will issue a warning and proceed to compile the revision for you.
-slaves <slave list>
A list of computers on the local area network to distribute DSE compiles to and search the exploration space. Provide a comma-separate list of host names and/or IP addresses of computers that are running Quartus II qSlave instances. For more information on distributed DSE compiles, please see the "Design Space Explorer" chapter in the Quartus II Handbook.
-stop-after-time <dd:hh:mm>
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–64 Chapter 2: Command-line Executables
quartus_sh
Instructs DSE to stop exploring the space after a specified time has elapsed. The time value is specified in format "dd:hh:mm". Where "dd" is the number of days, "hh" is the number of hours and "mm" is the number of minutes to allow before the search is halted.
-stop-after-zero-failing-paths
Instructs DSE to stop exploring the space after it encounters any point, including the base point, that has zero failing paths. DSE uses the failing path count reported in the 'All Failing Paths' report column to make this decision.
-use-lsf
Instructs DSE to use the LSF resources available at your site when performing a distributed search of an exploration space. Specifying that DSE should use LSF resources automatically enters DSE into distributed search mode. For more information on distributed DSE compiles, please see the chapter entitled "Design Space Explorer" in the Quartus II Handbook.
Applying Dse Optimizations
After you run Design Space Explorer, it writes its recommended optimization settings in a table to both the screen and to the <projectname>.dse.rpt output file. The recommended optimization settings are of the form:
+-----------------------------------------+------------------+ | Setting | Value | +-----------------------------------------+------------------+ | ASSIGNMENT_NAME | ASSIGNMENT_VALUE | +-----------------------------------------+------------------+
To implement the recommended optimizations when working in command-line mode, enter each optimization at the command prompt in a Tcl window in the form:
set_global_assignment -name ASSIGNMENT_NAME ASSIGNMENT_VALUE
Where:
<set_global_assignment> is the name of a Tcl command
<-name ASSIGNMENT_NAME> is the name of an assignment setting
<ASSIGNMENT_VALUE> is a valid value for the specified assignment setting
Examples
set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME ON set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX OFF set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL set_global_assignment -name INNER_NUM 5
Note:
PHYSICAL_SYNTHESIS_EFFORT and INNER_NUM can only be applied through the Tcl window.
PHYSICAL_SYNTHESIS_EFFORT makes the physical synthesis algorithms try harder.
INNER_NUM controls the Fitter effort level.
See Also
For more information please see the Design Space Explorer book in the Quartus II Help. Press the <F1> key to access this help from the DSE graphical user interface.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–65
quartus_sh
Additional information is also available in the "Design Space Explorer" chapter in the Quartus II Handbook, which is available at the Literature section of the Altera website (http://www.altera.com).
Licensing
This script is copyrighted by Altera Corporation and provided subject to the rights granted by the Altera Legal Notice found in the file: quartus/common/tcl/apps/dse/dse.tcl.

--dtw

Option to call a predefined Tcl/Tk script with a simple Graphical User Interface (GUI) wizard that can be used to define timing requirements for a DDR/DDR2-SDRAM memory interface.
Usage
quartus_sh --dtw [<options>]
Use "quartus_sh --dtw -h" for help on the available options.
Example
Run the wizard. The wizard will query the user for the project and all necessary parameters, then apply the necessary timing requirements for the memory interface to the project.
quartus_sh --dtw
Licensing
This script is copyrighted by Altera Corporation and provided subject to the rights granted by the Altera Legal Notice found in the file quartus/common/tcl/apps/gui/dtw/dtw.tcl

--flow

Option to open a project and execute the specified flow.
Usage:
Where <flow_name> is one of the following:
compile compile_and_simulate signalprobe hardcopy_full_compile migrate_to_hardcopy functional_simulation_netlist_generation export_database import_database early_timing_estimate early_timing_estimate_with_synthesis
Examples
# Basic compilation
quartus_sh --flow compile top
quartus_sh --flow compile top -c rev1
# Compile FPGA project, migrate to HardCopy, and
# compile HardCopy project
quartus_sh --flow hardcopy_full_compile top
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–66 Chapter 2: Command-line Executables
# You can do the same manually (assuming migration
# creates top_hardcopy_optimization)
quartus_sh --flow compile top
quartus_sh --flow migrate_to_hardcopy
cd top_hardcopy_optimization
quartus_sh --flow compile top
# Get an early timing estimate by running fast synthesis,
# followed by early timing estimate and timing analysis
quartus_sh --flow early_timing_estimate_with_synthesis top
# If synthesis has been run before you can run
# early timing estimate and timing analysis alone
quartus_sh --flow early_timing_estimate top
quartus_sh

--prepare

Option to create or open a project and make some assignments in order to prepare the project for compilation.
This option is intended to set up a project before compilation with the "--flow" option.
Usage
quartus_sh --prepare [<options>] <project_name>
Use "quartus_sh --prepare -?" for help on the available options.
Examples
# Set project and compile for Stratix
quartus_sh --prepare -f Stratix top
quartus_sh --flow compile top
# Set project and compile for Stratix using a revision
quartus_sh --prepare -r rev1 -f Stratix top
quartus_sh --flow compile top -c rev1
# Set project to compile a specified top-level entity
quartus_sh --prepare -t MyTopEntity top
quartus_sh --flow compile top

--qboard

QBoard is a Tk based Graphical User Interface (GUI) that allows the user create project templates based on DevKits.
Licensing
This script is copyrighted by Altera Corporation and provided subject to the rights granted by the Altera Legal Notice found in the file quartus/common/tcl/apps/qboard/qboard_script.tcl

--qhelp

Option to call a predefined Tk script with a simple Graphical User Interface (GUI) that can be used to browse command-line executable and Tcl API help.
Licensing
This script is copyrighted by Altera Corporation and provided subject to the rights granted by the Altera Legal Notice found in the file quartus/common/tcl/apps/qflow/qhelp.tcl
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–67
quartus_sh

--qinstall

Option to install Quartus II Device Archive (.qda) file(s). Quartus II Device Archive files can be downloaded from www.altera.com.
Usage
quartus_sh --qinstall -qda <value>
Available options
-qda <value>
Specify the Quartus II Device Archive file to install. You can specify one or more -qda options.
--------­Examples:
---------
# Install stratixii.qda and hardcopyii.qda quartus_sh --qinstall -qda stratixii.qda -qda hardcopyii.qda

--qslave

The Quartus Distributed Slave Utility
A utility to start the Distributed Master/Slave Toolkit's slave daemon on the slave host. The slave daemon must be started on each slave host in order to listen for job requests from the master host.
VERSION
1.0
Synopsis
-----­Usage:
-----­quartus_sh --qslave [<port_number> <jobs_limit_number> <working_directory>]
Options [optional]: port=<port_number> defaults to 1977 jobslimit=<jobs_limit_number> defaults to 1 workdir=<working_directory> defaults to current directory
Examples
quartus_sh --qslave
This command starts the Distributed Master/Slave Toolkit’s slave daemon in command-line mode.
quartus_sh --qslave port=1977
This command starts the Distributed Master/Slave Toolkit’s slave daemon to listen at port 1977.
quartus_sh --qslave jobslimit=1
This command starts the Distributed Master/Slave Toolkit’s slave daemon to listen by setting the jobs limit to 1. This means the maximum number of jobs this slave host can accept is one. If this slave host receives more than one job, the second job is rejected.
quartus_sh --qslave workdir="d:/slave"
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–68 Chapter 2: Command-line Executables
quartus_sh
This command starts the Distributed Master/Slave Toolkit’s slave daemon and set the working directory to "d:/slave". The working directory stores the temporary directories that are used by the slave while running the jobs. These temporary directories are deleted when the jobs are released successfully. If the jobs fail to be released for some reasons, you may need to delete these temporary directories manually to save disk space.
Licensing
This script is copyrighted by Altera Corporation and provided subject to the rights granted by the Altera Legal Notice found in the file quartus/common/tcl/apps/qslave/qslave.tcl.

--relcon

Option to call a predefined Tcl script that can be used to place logic registers relative to user-defined pin locations. One application of this script is to optimize timing margins for DDR/DDR2-SDRAM memory interfaces on Stratix II.
Usage
quartus_sh --relcon [<options>]
Use "quartus_sh --relcon -?" for help on the available options.
Example
# Place the read postamble registers in the LABs adjacent to the # DQS pins to optimize read postamble setup margin. quartus_sh --relcon -project top -pin_name "mem_dqs[*]" -reg_name "*|postamble_en_pos_2x[*]" -row_offset 1 -apply
# Place the read resync registers in the LABs adjacent to # the DQ pins to optimize read resync setup and hold margins. quartus_sh --relcon -project top -pin_name "mem_dq[*]" -reg_name "*|rdata_p_ams[*]"
-row_offset 1 -apply quartus_sh --relcon -project top -pin_name "mem_dq[*]" -reg_name "*|rdata_n_ams[*]"
-row_offset 1 -apply
Licensing
This script is copyrighted by Altera Corporation and provided subject to the rights granted by the Altera Legal Notice found in the file quartus/common/tcl/apps/relcon/relative_constraint.tcl

--restore

Option to restore the specified Quartus II Archive File (.qar).
Usage
quartus_sh --restore [<options>] <.qar file name>
Available options
Option Description
-content List the contents of the specified Quartus II Archive file.
-ascii <file name> When combined with the -content option, this option generates the specified <file name> containing a newline-delimited list of files contained in the specified Quartus II Archive file.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–69
quartus_sh
-output <value> Specify the output directory. By default, the Quartus II Archive File is restored to the current directory.
-ui Option to open the project from the Quartus II software after restoring the specified Quartus II Archive file.
<.qar file name> Specify the Quartus II Archive File name.
Examples
# Only display the content of top.qar; don't restore yet. quartus_sh --restore -content top.qar
# Create top.txt listing the content of top.qar; don't restore yet. quartus_sh --restore -content -ascii top.txt top.qar
# Restore top.qar quartus_sh --restore top.qar
# Restore top.qar into the top_restored/ directory quartus_sh --restore -output top_restored top.qar

--set

Option to call a predefined Tcl command to set or remove a given QSF assignment.
This command opens an existing revision, make or remove an assignment, and close the revision.
Usage
quartus_sh --set [options] <name>[=<value>] <project_name>
Available options
Option Description
-rev <revision_name> Revision name
-remove Remove Assignment
Examples
# Make SMART_RECOMPILE=ON assignment quartus_sh --set SMART_RECOMPILE=ON top # Same as above but on revision rev1 quartus_sh --set -rev rev1 SMART_RECOMPILE=ON top # Remove CUT_CLEAR_AND_PRESET assignment quartus_sh --set -remove CUT_CLEAR_AND_PRESET top

--simlib_comp

Launches the Altera Simulation Library Compiler to compile Verilog and VHDL simulation libraries for all supported third-party simulators. Make sure the appropriate simulation tools are already installed and paths to the tools are either specified in the Quartus II software in the EDA Tool Options page of the Options dialog box, or are in the search path.
Version
8.1
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–70 Chapter 2: Command-line Executables
quartus_sh
Synopsis
Usage
quartus_sh --simlib_comp [options]
Options
-family
-tool <simulation tool name>
-language <language>
-directory <directory>
-log <filename>
-suppress_messages
-gui
-family
Required option. Specifies the device family for which you are compiling libraries. This will result in the compilation of all libraries required for RTL and gate-level simulations.
Note: The family name should be specified in all lowercase, with no spaces.
-tool <simulation tool name>
Required option. Specify one of the following tool names:
modelsim vcs vcsmx ncsim activehdl rivierapro
Note: No libraries are generated for VCS. Instead a VCS options file, simlib_comp.vcs, is generated that specifies the library source files.
Note: Global libraries are created for for Active HDL, but not for Riviera-PRO
-language <language>
Required option. This must be either verilog or vhdl.
-directory <directory>
Not a required option. The directory in which to create the compiled library directories. If not specified the default is the current directory ( ./ )
The libraries are compiled into a single directory (verilog_libs or vhdl_libs) containing subdirectories for each of the compiled libraries. The subdirectory names for Verilog libraries are always suffixed with _ver, whereas the VHDL library directories have no suffix.
For example, the Verilog version of the altera_mf library would be:
<directory>/verilog_libs/altera_mf_ver
and the VHDL version would be:
<directory>/vhdl_libs/altera_mf
-log <filename>
Not a required option. Specifies the file to store all messages issued during the compilation that were not suppressed using the -suppress_messages option. If this option is not specified then no log file is used.
-suppress_messages
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–71
quartus_sh
Not a required option. Specifies whether or not to suppress simulator specific information and warning messages issued during compilation. This option does not apply to tool specific error messages. Messages that are suppressed do not appear in a log file, if one was specified. If this option is not specified, then no messages are suppressed.
-gui
Not a required option.This will launch the simlib_comp graphical user interface (GUI) regardless of the other command-line options used.
See Also
For more information please see the EDA simulation tool specfic chapters of the verification volume in Quartus II Handbook which is available in the Literature section of the Altera website.
Licensing
This script is copyrighted by Altera Corporation and provided subject to the rights granted by the Altera Legal Notice found in the file: quartus/common/tcl/internal/simlib_comp.tcl.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–72 Chapter 2: Command-line Executables

quartus_si

quartus_si
The Quartus® II SSN Analyzer estimates the simultaneous switching noise contributions to voltage and timing noise.
Quartus II Analysis & Synthesis and the Fitter must be run successfully before running the SSN Analyzer.

Usage

quartus_si [-h | --help[=<option|topic>] | -v]
quartus_si <project name> [<options>]
quartus_si -t <script file> [<script args>]
quartus_si -s
quartus_si --tcl_eval <tcl command>
This command supports the following options:
Option Page
-f=<argument file>................................................................................................................................. 2–92
-g[=on|off] .............................................................................................................................................. 2–72
-h............................................................................................................................................................... 2–92
-s ............................................................................................................................................................. 2–102
-t=<script file> ...................................................................................................................................... 2–102
-v............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--bank=<bank index> ............................................................................................................................ 2–72
--grouping[=on|off] .............................................................................................................................. 2–73
--help[=<option|topic>] ....................................................................................................................... 2–93
--lower_priority ...................................................................................................................................... 2–93
--script=<script file> ............................................................................................................................ 2–102
--shell...................................................................................................................................................... 2–102
--sso_inputs[=on|off]............................................................................................................................ 2–73
--tcl_eval=<tcl command>.................................................................................................................. 2–103
--version................................................................................................................................................... 2–93
This command includes help on the following topics:
Help Topic Page
Tcl ........................................................................................................................................................... 2–103
arguments ............................................................................................................................................... 2–93
makefiles.................................................................................................................................................. 2–93
return_codes ........................................................................................................................................... 2–95

-g[=on|off]

Refer to the help for --grouping[=on|off] on page 2–73

--bank=<bank index>

Specify to perform SSN analysis only on the requested bank.
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Chapter 2: Command-line Executables 2–73
quartus_si

--grouping[=on|off]

Specify for SSN analysis to group similar output-enable and synchronous pins together.

--sso_inputs[=on|off]

Specify to include analysis of SSO on inputs when performing SSN analysis.
© July 2013 Altera Corporation Quartus II Scripting Reference Manual
2–74 Chapter 2: Command-line Executables

quartus_sim

quartus_sim
The Quartus® II Simulator tests and debugs the logical operation and internal timing of the design entities in a project. The Simulator can perform two types of simulation: functional simulation and timing simulation. The quartus_sim executable includes Tcl support.
You must generate a functional simulation netlist successfully before running a functional simulation. You can generate a functional simulation netlist with the Generate Functional Simulation Netlist command (Processing menu) or with the quartus_map --generate_functional_sim_netlist <project> command at the command prompt.
The Timing Analyzer must be run successfully before running a timing simulation.

Usage

quartus_sim [-h | --help[=<option|topic>] | -v]
quartus_sim <project name> [<options>]
quartus_sim -t <script file> [<script args>]
quartus_sim -s
quartus_sim --tcl_eval <tcl command>
This command supports the following options:
Quartus II Scripting Reference Manual © July 2013 Altera Corporation
Loading...