IP Core Variation.........................................................................................................................................2-3
JESD204B IP Core Configuration..............................................................................................................2-4
Performance and Resource Utilization.....................................................................................................2-6
Introduction to Altera IP Cores.................................................................................................................3-1
Installing and Licensing IP Cores..............................................................................................................3-2
Upgrading IP Cores.....................................................................................................................................3-2
IP Catalog and Parameter Editor...............................................................................................................3-5
JESD204B IP Core Document Revision History..................................................................................... 8-1
How to Contact Altera................................................................................................................................ 8-3
Altera Corporation
2015.05.04
www.altera.com
101 Innovation Drive, San Jose, CA 95134
JESD204B IP Core Quick Reference
1
UG-01142
Subscribe
Send Feedback
The Altera® JESD204B MegaCore® function is a high-speed point-to-point serial interface intellectual
property (IP).
The JESD204B MegaCore function is part of the MegaCore IP Library, which is distributed with the
Quartus® II software and downloadable from the Altera website at www.altera.com.
Note:
For system requirements and installation instructions, refer to Altera Software Installation &
Licensing.
Table 1-1: Brief Information About the JESD204B MegaCore Function
ItemDescription
Version15.0
Release DateMay 2015
Release
Information
Ordering CodeIP-JESD204B
Product ID0116
Vendor ID6AF7
• Joint Electron Device Engineering Council (JEDEC)
JESD204B.01, 2012 standard release specification
• Device subclass:
IP Core
Information
Protocol Features
• Subclass 0—Backwards compatible to JESD204A.
• Subclass 1—Uses SYSREF signal to support deterministic
latency.
• Subclass 2—Uses SYNC_N detection to support determin‐
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
1-2
IP Core
Information
JESD204B IP Core Quick Reference
ItemDescription
Core Features• Run-time configuration of parameters L,M, and F
Typical Application• Wireless communication equipment
UG-01142
2015.05.04
• Data rates up to 12.5 gigabits per second (Gbps)
• Single or multiple lanes (up to 8 lanes per link)
• Serial lane alignment and monitoring
• Lane synchronization
• Modular design that supports multidevice synchronization
• MAC and PHY partitioning
• Deterministic latency support
• 8B/10B encoding
• Scrambling/Descrambling
• Avalon® Streaming (Avalon-ST) interface for transmit and
receive datapaths
• Avalon Memory-Mapped (Avalon-MM) interface for
Configuration and Status registers (CSR)
• Dynamic generation of simulation testbench
• Broadcast equipment
• Military equipment
• Medical equipment
• Test and measurement equipment
Device Family Support • Cyclone® V FPGA device families
• Arria® V FPGA device families
• Arria V GZ FPGA device families
• Arria 10 FPGA device families
• Stratix® V FPGA device families
Refer to the device support table andWhat’s New in Altera IP
page of the Altera website for detailed information.
Design Tools• Qsys parameter editor in the Quartus II software for design
creation and compilation
• TimeQuest timing analyzer in the Quartus II software for
timing analysis
• ModelSim®-Altera, Aldec Riviera-Pro, VCS/VCS MX, and
NCSim software for design simulation or synthesis
Related Information
• Altera Software Installation and Licensing
• What's New in Altera IP
Altera Corporation
JESD204B IP Core Quick Reference
Send Feedback
2015.05.04
www.altera.com
101 Innovation Drive, San Jose, CA 95134
About the JESD204B IP Core
2
UG-01142
Subscribe
Send Feedback
The Altera JESD204B IP core is a high-speed point-to-point serial interface for digital-to-analog (DAC) or
analog-to-digital (ADC) converters to transfer data to FPGA devices. This unidirectional serial interface
runs at a maximum data rate of 12.5 Gbps. This protocol offers higher bandwidth, low I/O count and
supports scalability in both number of lanes and data rates. The JESD204B IP core addresses multi-device
synchronization by introducing Subclass 1 and Subclass 2 to achieve deterministic latency.
The JESD204B IP core incorporates:
• Media access control (MAC)—data link layer (DLL) block that controls the link states and character
replacement.
• Physical layer (PHY)—physical coding sublayer (PCS) and physical media attachment (PMA) block.
The JESD204B IP core does not incorporate the Transport Layer (TL) that controls the frame assembly
and disassembly. The TL and test components are provided as part of a design example component where
you can customize the design for different converter devices.
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
JESD204B TX
IP Core
FPGA
M Converters
1 Link, L Lanes
SYNC_N
M Converters
M Converters
SYNC_N
SYNC_N
M Converters
SYNC_N
JESD204B RX
IP Core
1 Link, L Lanes
1 Link, L Lanes
1 Link, L Lanes
DAC Device
Clock 1
ADC Device
Clock 1
Multi-Device
Synchronization
through Subclass 1
or Subclass 2
Multi-Device
Synchronization
through Subclass 1
or Subclass 2
Logic Device (TX)
Device Clock 2
Logic Device (RX)
Device Clock 2
JESD204B TX
IP Core
JESD204B RX
IP Core
2-2
About the JESD204B IP Core
Figure 2-1: Typical System Application for JESD204B IP Core
The JESD204B IP core utilizes the Avalon-ST source and sink interfaces, with unidirectional flow of data,
to transmit and receive data on the FPGA fabric interface.
UG-01142
2015.05.04
Key features of the JESD204B IP core:
• Data rate of up to 12.5 Gbps
• Run-time JESD204B parameter configuration (L, M, F, S, N, K, CS, CF)
• MAC and PHY partitioning for portability
• Subclass 0 mode for backward compatibility to JESD204A
• Subclass 1 mode for deterministic latency support (using SYSREF) between the ADC/DAC and logic
• Subclass 2 mode for deterministic latency support (using SYNC_N) between the ADC/DAC and logic
Altera Corporation
• Multi-device synchronization
device
device
About the JESD204B IP Core
Send Feedback
UG-01142
2015.05.04
Datapath Modes
The JESD204B IP core supports TX-only, RX-only, and Duplex (TX and RX) mode. The IP core is a
unidirectional protocol where interfacing to ADC utilizes the transceiver RX path and interfacing to DAC
utilizes the transceiver TX path.
The JESD204B IP core generates a single link with a single lane and up to a maximum of 8 lanes. If there
are two ADC links that need to be synchronized, you have to generate two JESD204B IP cores and then
manage the deterministic latency and synchronization signals, like SYSREF and SYNC_N, at your custom
wrapper level.
The JESD204B IP core supports duplex mode only if the LMF configuration for ADC (RX) is the same as
DAC (TX) and with the same data rate. This use case is mainly for prototyping with internal serial
loopback mode. This is because typically as a unidirectional protocol, the LMF configuration of converter
devices for both DAC and ADC are not identical.
IP Core Variation
The JESD204B IP core has three core variations:
Datapath Modes
2-3
• JESD204B MAC only
• JESD204B PHY only
• JESD204B MAC and PHY
In a subsystem where there are multiple ADC and DAC converters, you need to use the Quartus II
software to merge the transceivers and group them into the transceiver architecture. For example, to
create two instances of the JESD204B TX IP core with four lanes each and four instances of the JESD204
RX IP core with two lanes each, you can apply one of the following options:
• MAC and PHY option
1. Generate JESD204B TX IP core with four lanes and JESD204B RX IP core with two lanes.
2. Instantiate the desired components.
3. Use the Quartus II software to merge the PHY lanes.
• MAC only and PHY only option—based on the configuration above, there are a total of eight lanes in
duplex mode.
1. Generate the JESD204B Duplex PHY with a total of eight lanes. (TX skew is reduced in this
configuration as the channels are bonded).
2. Generate the JESD204B TX MAC with four lanes and instantiate it two times.
3. Generate the JESD204B RX MAC with two lanes and instantiate it four times.
4. Create a wrapper to connect the JESD204B TX MAC and RX MAC with the JESD204B Duplex
PHY.
Note:
If the data rate for TX and RX is different, the transceiver does not allow duplex mode to generate a
duplex PHY. In this case, you have to generate a RX-only PHY on the RX data rate and a TX-only
PHY on the TX data rate.
About the JESD204B IP Core
Send Feedback
Altera Corporation
2-4
JESD204B IP Core Configuration
JESD204B IP Core Configuration
Table 2-1: JESD204B IP Core Configuration
SymbolDescriptionValue
LNumber of lanes per converter device1-8
MNumber of converters per device1-256
FNumber of octets per frame1, 2, 4-256
SNumber of transmitted samples per converter per frame1-32
NNumber of conversion bits per converter1-32
UG-01142
2015.05.04
N'Number of transmitted bits per sample (JESD204 word size,
1-32
which is in nibble group)
KNumber of frames per multiframe17/F ≤ K ≤ 32 ; 1-32
CSNumber of control bits per conversion sample0-3
CFNumber of control words per frame clock period per link0-32
HDHigh Density user data format0 or 1
LMFCLocal multiframe clock(F × K /4) link clock counts
Run-Time Configuration
The JESD204B IP core allows run-time configuration of LMF parameters.
The most critical parameters that must be set correctly during IP generation are the L and F parameters.
Parameter L denotes the maximum lanes supported while parameter F denotes the size of the deskew
buffer needed for deterministic latency. The hardware generates during parameterization, which means
that run-time programmability can only fall back from the parameterized and generated hardware, but
not beyond the parameterized IP core.
You can use run-time configuration for prototyping or evaluating the performance of converter devices
with various LMF configurations. However, in actual production,Altera recommends that you generate
the JESD204B IP core with the intended LMF to get an optimized gate count.
For example, if a converter device supports LMF = 442 and LMF = 222, to check the performance for both
configurations, you need to generate the JESD204B IP core with maximum F and L, which is L = 4 and F
= 2. During operation, you can use the fall back configuration to disable the lanes that are not used in
LMF = 222 mode. You must ensure that other JESD204B configurations like M, N, S, CS, CF, and HD do
not violate the parameter F setting. You can access the Configuration and Status Register (CSR) space to
modify other configurations such as:
(1)
• K (multi-frame)
• device and lane IDs
• enable or disable scrambler
• enable or disable character replacement
(1)
The value of F x K must be divisible by 4.
Altera Corporation
About the JESD204B IP Core
Send Feedback
UG-01142
2015.05.04
F Parameter
This parameter indicates how many octets per frame per lane that the JESD204B link is operating in. You
must set the F parameter according to the JESD204B IP Specification for a correct data mapping.
To support the High Density (HD) data format, the JESD204B IP core tracks the start of frame and end of
frame because F can be either an odd or even number. The start of frame and start of multi-frame wrap
around the 32-bits data width architecture. The RX IP core outputs the start of frame (sof[3:0]) and
start of multiframe (somf[3:0]), which act as markers, using the Avalon-ST data stream. Based on these
markers, the transport layer build the frames.
In a simpler system where the HD data format is set to 0, the F will always be 1, 2, 4, 6, 8, and so forth.
This simplifies the transport layer design, so you do not need to use the sof[3:0] and somf[3:0]
markers.
Channel Bonding
The JESD204B IP core supports channel bonding—bonded and non-bonded modes.
The channel bonding mode that you select may contribute to the transmitter channel-to-channel skew. A
bonded transmitter datapath clocking provides low channel-to-channel skew as compared to non-bonded
channel configurations.
Channel Bonding
2-5
Table 2-2: Maximum Number of Lanes (L) Supported in Bonded and Non-Bonded Mode
• In PHY-only mode, you can generate up to 32 channels, provided that the channels are on the same side. In
MAC and PHY integrated mode, you can generate up to 8 channels.
• In bonded channel configuration, the lower transceiver clock skew and equal latency in the transmitter phase
compensation FIFO for all channels result in a lower channel-to-channel skew. You must use adjacent
channels when you select ×6 bonding. You must also place logical channel 0 in either physical channel 1 or 4.
Physical channels 1 and 4 are indirect drivers of the ×6 clock network. The JESD204B IP core automatically
selects between xN or feedback compensation (fb_compensation) bonding depending on the number of
transceiver channels you set.
• When you select bonded channel and L<6, the IP core automatically selects xN/x6 bonding mode for the
transceiver. When you select bonded channel and L≥6, the IP core automatically selects x6 PLL fb_compensa‐
tion bonding mode for the transceiver
• In non-bonded channel configuration, the transceiver clock skew is higher and latency is unequal in the
transmitter phase compensation FIFO for each channel. This may result in a higher channel-to-channel skew.
Device FamilyCore VariationBonding Mode ConfigurationMaximum Number of
Lanes (L)
PHY only
Bonded32
Non-bonded32
(2)
(2)
Arria V
Bonded6
MAC and PHY
Non-bonded8
(2)
The maximum lanes listed here is for configuration simplicity. Refer to the Altera Transceiver PHY UserGuide for the actual number of channels supported.
About the JESD204B IP Core
Send Feedback
Altera Corporation
2-6
Performance and Resource Utilization
Device FamilyCore VariationBonding Mode ConfigurationMaximum Number of
Bonded32
Arria V GZ
PHY only
Non-bonded32
Arria 10
Bonded8
Stratix V
MAC and PHY
Non-bonded8
Performance and Resource Utilization
Table 2-3: JESD204B IP Core FPGA Performance
UG-01142
2015.05.04
Lanes (L)
(2)
(2)
Data Rate
Enable Soft PCS
(Gbps)
Link Clock F
(3)
Device Family
PMA Speed
Grade
FPGA Fabric
Speed Grade
Cyclone V5<Any
Enable Hard
PCS (Gbps)
1.0 to 5.0—125.00
supported
speed grade>
Cyclone V66 or 71.0 to 3.125—78.125
Arria V<Any
supported
speed grade>
<Any
supported
speed grade>
1.0 to 6.55—
Arria V GZ232.0 to 9.9—
Arria V GZ342.0 to 8.8—
(4)
(4)
(4)
163.84
247.50
220.00
Arria 10112.0 to 12.02.0 to 12.5312.50
Arria 10212.0 to 12.02.0 to 12.5312.50
Arria 10222.0 to 9.832.0 to 12.5312.50
Arria 10312.0 to 12.02.0 to 12.5312.50
Arria 10322.0 to 9.832.0 to 12.5—
Arria 10432.0 to 8.832.0 to 12.5312.50
MAX
(MHz)
Arria 10532.0 to 8.02.0 to 8.0312.50
Stratix V11 or 22.0 to 12.22.0 to 12.5312.50
Stratix V21 or 22.0 to 12.22.0 to 12.5312.50
Stratix V232.0 to 9.82.0 to 12.5
(3)
Select Enable Soft PCS to achieve maximum data rate. For the TX IP core, enabling soft PCS incurs an
additional 3–8% increase in resource utilization. For the RX IP core, enabling soft PCS incurs an additional
10–20% increase in resource utilization.
(4)
Enabling Soft PCS does not increase the data rate for the device family and speed grade. You are
recommended to select the Enable Hard PCS option.
Altera Corporation
(5)
312.50
About the JESD204B IP Core
Send Feedback
UG-01142
2015.05.04
Performance and Resource Utilization
2-7
Data Rate
Enable Soft PCS
(Gbps)
(3)
Device Family
PMA Speed
Grade
FPGA Fabric
Speed Grade
Enable Hard
PCS (Gbps)
Stratix V31, 2, 3, or 42.0 to 8.52.0 to 8.5312.50
The following table lists the resources and expected performance of the JESD204B IP core. These results
are obtained using the Quartus II software targeting the following Altera FPGA devices:
• Cyclone V : 5CGTFD9E5F31I7
• Arria V : 5AGXFB3H4F35C5
• Arria V GZ : 5AGZME5K2F40C3
• Arria 10 : 10AX115H2F34I2SGES
• Stratix V : 5SGXEA7H3F35C3
All the variations for resource utilization are configured with the following parameter settings:
Table 2-4: Parameter Settings To Obtain the Resource Utilization Data
ParameterSetting
JESD204B WrapperBase and PHY
JESD204B Subclass1
Data Rate5 Gbps
Select Enable Soft PCS to achieve maximum data rate. For the TX IP core, enabling soft PCS incurs an
additional 3–8% increase in resource utilization. For the RX IP core, enabling soft PCS incurs an additional
10–20% increase in resource utilization.
(5)
When using Soft PCS mode at 12.5 Gbps, the timing margin is very limited. You are advised to enable
HIGH fitter effort, register duplication, and register retiming to improve timing performance.
About the JESD204B IP Core
Send Feedback
Altera Corporation
2-8
Performance and Resource Utilization
Table 2-5: JESD204B IP Core Resource Utilization
The numbers of ALMs and logic registers in this table are rounded up to the nearest 10.
Note: The resource utilization data are extracted from a full design which includes the Altera Transceiver PHY
Reset Controller IP Core. Thus, the actual resource utilization for the JESD204B IP core should be smaller
by about 15 ALMs and 20 registers.
Information about the Quartus II resource utilization reporting, including ALMs needed.
(6)
M10K for Arria V device, M20K for Arria V GZ, Stratix V and Arria 10 devices.
(7)
The Quartus II software may auto-fit to use MLAB when the memory size is too small. Conversion from
MLAB to M20K or M10K was performed for the numbers listed above.
(7)
The Quartus II software may auto-fit to use MLAB when the memory size is too small. Conversion from
MLAB to M20K or M10K was performed for the numbers listed above.
About the JESD204B IP Core
Send Feedback
Altera Corporation
2015.05.04
www.altera.com
101 Innovation Drive, San Jose, CA 95134
Getting Started
3
UG-01142
Subscribe
Send Feedback
The JESD204B IP core is part of the MegaCore IP Library distributed with the Quartus II software and
downloadable from the Altera website at www.altera.com.
Related Information
Altera Software Installation & Licensing
Introduction to Altera IP Cores
Altera and strategic IP partners offer a broad portfolio of off-the-shelf, configurable IP cores optimized for
Altera devices. The Quartus II software installation includes the Altera IP library. You can integrate
optimized and verified Altera IP cores into your design to shorten design cycles and maximize
performance. You can evaluate any Altera IP core in simulation and compilation in the Quartus II
software. The Quartus II software also supports integration of IP cores from other sources. Use the IP
Catalog to efficiently parameterize and generate synthesis and simulation files for a custom IP variation.
The Altera IP library includes the following categories of IP cores:
• Basic functions
• DSP functions
• Interface protocols
• Low power functions
• Memory interfaces and controllers
• Processors and peripherals
Note:
The IP Catalog (Tools > IP Catalog) and parameter editor replace the MegaWizard™ Plug-In
Manager for IP selection and parameterization, beginning in Quartus II software version 14.0. Use
the IP Catalog and parameter editor to locate and paramaterize Altera and other supported IP
cores.
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
acds
quartus - Contains the Quartus II software
ip - Contains the Altera IP Library and third-party IP cores
altera - Contains the Altera IP Library source code
<IP core name> - Contains the IP core source files
3-2
Installing and Licensing IP Cores
Installing and Licensing IP Cores
The Altera IP Library provides many useful IP core functions for your production use without purchasing
an additional license. Some Altera MegaCore IP functions require that you purchase a separate license for
production use. However, the OpenCore® feature allows evaluation of any Altera IP core in simulation
and compilation in the Quartus II software. After you are satisfied with functionality and perfformance,
visit the Self Service Licensing Center to obtain a license number for any Altera product.
Figure 3-1: IP Core Installation Path
Note: The default IP installation directory on Windows is <drive>:\altera\<version number>; on Linux it is
<home directory>/altera/ <version number>.
UG-01142
2015.05.04
Related Information
• Altera Licensing Site
• Altera Software Installation and Licensing Manual
Upgrading IP Cores
IP core variants generated with a previous version of the Quartus II software may require upgrading
before use in the current version of the Quartus II software. Click Project > Upgrade IP Components to
identify and upgrade outdated IP core variants.
Icons in the Upgrade IP Components dialog box indicate when IP upgrade is required, optional, or
unsupported for IP cores in your design. This dialog box may open automatically when you open a
project containing upgradeable IP variations. You must upgrade IP cores that require upgrade before you
can compile the IP variation in the current version of the Quartus II software.
The upgrade process preserves the original IP variation file in the project directory as <my_variant>_
BAK.qsys for IP targeting Arria 10 and later devices, and as <my_variant>_BAK.v, .sv, or .vhd for legacy IP
targeting 28nm devices and greater.
Upgrading IP cores for Arria 10 and later devices may append a unique identifier to the original IP
Note:
core entity name(s), without similarly modifying the IP instance name. There is no requirement to
update these entity references in any supporting Quartus II file; such as the Quartus II Settings File
(.qsf), Synopsys Design Constraints File (.sdc), or SignalTap File (.stp), if these files contain instance
names. The Quartus II software reads only the instance name and ignores the entity name in paths
that specify both names. Use only instance names in assignments.
Altera Corporation
Getting Started
Send Feedback
UG-01142
2015.05.04
Table 3-1: IP Core Upgrade Status
IP Core StatusDescription
Upgrading IP Cores
3-3
IP Upgraded
IP Upgrade Optional
IP Upgrade Mismatch
Warning
IP Upgrade Required
Your IP variation uses the lastest version of the IP core.
Upgrade is optional for this IP variation in the current version of the Quartus
II software. You can upgrade this IP variation to take advantage of the latest
development of this IP core. Alternatively you can retain previous IP core
characteristics by declining to upgrade. Refer to the Description for details
about IP core version differences. If you do not upgrade the IP, the IP variation
synthesis and simulation files are unchanged and you cannot modify
parameters until upgrading.
Warning of non-critical IP core differences in migrating IP to another device
family.
You must upgrade the IP variation before compiling in the current version of
the Quartus II software. Refer to the Description for details about IP core
version differences.
IP Upgrade Unspported
Upgrade of the IP variation is not supported in the current version of the
Quartus II software due to incompatibility with the current version of the
Quartus II software. You are prompted to replace the unsupported IP core
with a supported equivalent IP core from the IP Catalog. Refer to the Descrip‐
tion for details about IP core version differences and links to Release Notes.
IP End of Life
Altera designates the IP core as end-of-life status. You may or may not be able
to edit the IP core in the parameter editor. Support for this IP core
discontinues in future releases of the Quartus II software.
Encrypted IP Core
The IP variation is encrypted.
Follow these steps to upgrade IP cores:
1. In the latest version of the Quartus II software, open the Quartus II project containing an outdated IP
core variation. The Upgrade IP Components dialog automatically displays the status of IP cores in
Getting Started
Send Feedback
Altera Corporation
Runs “Auto Upgrade” on all supported outdated cores
Opens editor for manual IP upgrade
“Auto Upgrade”
supported
Upgrade required
Upgrade
optional
Upgrade details
“Auto Upgrade”
successful
3-4
Upgrading IP Cores
your project, along with instructions for upgrading each core. Click Project > Upgrade IP
Components to access this dialog box manually.
2. To upgrade one or more IP cores that support automatic upgrade, ensure that the Auto Upgrade
option is turned on for the IP core(s), and then click Perform Automatic Upgrade. The Status and
Version columns update when upgrade is complete. Example designs provided with any Altera IP core
regenerate automatically whenever you upgrade an IP core.
3. To manually upgrade an individual IP core, select the IP core and then click Upgrade in Editor (or
simply double-click the IP core name. The parameter editor opens, allowing you to adjust parameters
and regenerate the latest version of the IP core.
Figure 3-2: Upgrading IP Cores
UG-01142
2015.05.04
Altera Corporation
Note: IP cores older than Quartus II software version 12.0 do not support upgrade. Altera verifies that
the current version of the Quartus II software compiles the previous version of each IP core.
The Altera IP Release Notes reports any verification exceptions for Altera IP cores. Altera does
not verify compilation for IP cores older than the previous two releases.
Related Information
Altera IP Release Notes
Getting Started
Send Feedback
UG-01142
2015.05.04
IP Catalog and Parameter Editor
The Quartus II IP Catalog (Tools > IP Catalog) and parameter editor help you easily customize and
integrate IP cores into your project. You can use the IP Catalog and parameter editor to select, customize,
and generate files representing your custom IP variation.
Note: The IP Catalog (Tools > IP Catalog) and parameter editor replace the MegaWizard™ Plug-In
Manager for IP selection and parameterization, beginning in Quartus II software version 14.0. Use
the IP Catalog and parameter editor to locate and paramaterize Altera IP cores.
The IP Catalog lists installed IP cores available for your design. Double-click any IP core to launch the
parameter editor and generate files representing your IP variation. The parameter editor prompts you to
specify an IP variation name, optional ports, and output file generation options. The parameter editor
generates a top-level Qsys system file (.qsys) or Quartus II IP file (.qip) representing the IP core in your
project. You can also parameterize an IP variation without an open project.
Use the following features to help you quickly locate and select an IP core:
• Filter IP Catalog to Show IP for active device family or Show IP for all device families. If you have no
project open, select the Device Family in IP Catalog.
• Type in the Search field to locate any full or partial IP core name in IP Catalog.
• Right-click an IP core name in IP Catalog to display details about supported devices, open the IP core's
installation folder, and view links to documentation.
• Click Search for Partner IP, to access partner IP information on the Altera website.
IP Catalog and Parameter Editor
3-5
Getting Started
Send Feedback
Altera Corporation
Search for installed IP cores
Double-click to customize, right-click for
detailed information
Show IP only for target device
3-6
Design Walkthrough
Figure 3-3: Quartus II IP Catalog
UG-01142
2015.05.04
Note: The IP Catalog is also available in Qsys (View > IP Catalog). The Qsys IP Catalog includes
exclusive system interconnect, video and image processing, and other system-level IP that are not
available in the Quartus II IP Catalog. For more information about using the Qsys IP Catalog, refer
to Creating a System with Qsys in the Quartus II Handbook.
Design Walkthrough
This walkthrough explains how to create a JESD204B IP core design using Qsys in the Quartus II
software. After you generate a custom variation of the JESD204B IP core, you can incorporate it into your
overall project.
Creating a New Quartus II Project
You can create a new Quartus II project with the New Project Wizard. This process allows you to:
Altera Corporation
Getting Started
Send Feedback
UG-01142
2015.05.04
• specify the working directory for the project.
• assign the project name.
• designate the name of the top-level design entity.
1. From the Windows Start menu, select Programs > Altera > Quartus II <version> to launch the
Quartus II software. Alternatively, you can use the Quartus II Web Edition software.
2. On the File menu, click New Project Wizard.
3. In the New Project Wizard: Directory, Name, Top-Level Entity page, specify the working directory,project name, and top-level design entity name. Click Next.
4. In the New Project Wizard: Add Files page, select the existing design files (if any) you want to include
in the project.
(8)
Click Next.
5. In the New Project Wizard: Family & Device Settings page, select the device family and specific
device you want to target for compilation. Click Next.
6. In the EDA Tool Settings page, select the EDA tools you want to use with the Quartus II software to
develop your project.
7. Review the summary of your chosen settings in the New Project Wizard window, then click Finish to
complete the Quartus II project creation.
Parameterizing and Generating the IP Core
Parameterizing and Generating the IP Core
3-7
Before you begin
Refer to Table 3-6 for the IP core parameter values and description.
1. In the IP Catalog (Tools > IP Catalog), locate and double-click the name of the IP core you want to
customize.
2. Specify a top-level name for your custom IP variation. This name identifies the IP core variation files
in your project. If prompted, also specify the target Altera device family and output file HDL
preference. Click OK.
3. In the Main tab, set the following options:
• Jesd204b wrapper
• Data path
• Jesd204b subclass
• Data Rate
• PCS Option
• PLL Type
• Bonding Mode
• PLL/CDR Reference Clock Frequency
• Enable Bit reversal and Byte reversal
• Enable Transceiver Dynamic Reconfiguration
• Enable Altera Debug Master Endpoint
• Enable Capability Registers
(8)
To include existing files, you must specify the directory path to where you installed the JESD204B IP core.
You must also add the user libraries if you installed the MegaCore IP Library in a different directory from
where you installed the Quartus II software.
Getting Started
Send Feedback
Altera Corporation
3-8
Generating and Simulating the IP Core Testbench
UG-01142
2015.05.04
• Set user-defined IP identifier
• Enable Control and Status Registers
• Enable Prbs Soft Accumulators
4. In the Jesd204b Configurations tab, select the following configurations:
• Common configurations (L, M, Enable manual F configuration, F, N, N', S, K)
5. In the Configurations and Status Registers tab, set the the following configurations:
• Device ID
• Bank ID
• Lane ID
• Lane checksum
6. After parameterizing the core, click Generate Example Design to create the simulation testbench. Skip
to step 8 if you do not want to generate the design example.
7. Set a name for your <example_design_directory> and click OK to generate supporting files and scripts.
The testbench and scripts are located in the <example_design_directory>/ip_sim folder.
The Generate Example Design option generates supporting files for the following entities:
• IP core for simulation—refer to Generating and Simulating the IP Core Testbench on page 3-8
• IP core design example for simulation—refer to Generating and Simulating the Design Example
on page 5-55
• IP core design example for synthesis—refer to Compiling the JESD204B IP Core Design Example
on page 5-57
8. Click Finish or Generate HDL to generate synthesis and other optional files matching your IP
variation specifications. The parameter editor generates the top-level .qip or .qsys IP variation file and
HDL files for synthesis and simulation.
The top-level IP variation is added to the current Quartus II project. Click Project > Add/RemoveFiles in Project to manually add a .qip or .qsys file to a project. Make appropriate pin assignments to
connect ports.
Some parameter options are grayed out if they are not supported in a selected configuration or it is
Note:
a derived parameter.
Generating and Simulating the IP Core Testbench
You can simulate your JESD204B IP core variation by using the provided IP core demonstration
testbench.
To use the JESD204B IP core testbench, follow these steps:
1. Generate the simulation model. Refer to Generating the Testbench Simulation Model on page 3-9.
2. Simulate the testbench using the simulator-specific scripts that you have generated. Refer to
Simulating the IP Core Testbench on page 3-9.
Note:
Some configurations are preset and are not programmable in the JESD204B IP core testbench. For
more details, refer to JESD204B IP Core Testbench on page 3-21 or the README.txt file located
in the <example_design_directory>/ip_sim folder.
Altera Corporation
Getting Started
Send Feedback
UG-01142
2015.05.04
Generating the Testbench Simulation Model
To generate the testbench simulation model, execute the generated script (gen_sim_verilog.tcl or
gen_sim_vhdl.tcl) located in the <example_design_directory>/ip_sim folder.
To run the Tcl script using the Quartus II sofware, follow these steps:
1. Launch the Quartus II software.
2. On the View menu, click Utility Windows > Tcl Console.
3.
In the Tcl Console, type cd <example_design_directory>/ip_sim to go to the specified
directory.
4.
Type source gen_sim_verilog.tcl (Verilog) or source gen_sim_vhdl.tcl (VHDL)
to generate the simulation files.
To run the Tcl script using the command line, follow these steps:
1. Obtain the Quartus II software resource.
2.
Type cd <example_design_directory>/ip_sim to go to the specified directory.
3.
Type quartus_sh -t gen_sim_verilog.tcl (Verilog) or quartus_sh -t
gen_sim_vhdl.tcl (VHDL) to generate the simulation files.
Simulating the IP Core Testbench
Generating the Testbench Simulation Model
3-9
The JESD204B IP core simulation supports the following simulators:
• ModelSim-Altera SE/AE
• VCS
• VCS MX
• Cadence
• Aldec Riviera
VHDL is not supported in ModelSim-Altera AE, VCS simulators, and Aldec Riviera (for Arria 10
Note:
devices only).
Table 3-2: Simulation Setup Scripts
This table lists the simulation setup scripts and run scripts.
To simulate the testbench design using the ModelSim-Altera or Aldec Riviera-PRO simulator, follow
these steps:
1. Launch the ModelSim-Altera or Aldec Riviera-PRO simulator.
2. On the File menu, click Change Directory > Select <example_design_directory>/ip_sim/testbench/
<simulator name>.
3. On the File menu, click Load > Macro file. Select run_altera_jes204_tb.tcl. This file compiles the
design and runs the simulation automatically, providing a pass/fail indication on completion.
To simulate the testbench design using the VCS, VCS MX (in Linux), or Cadence simulator, follow these
steps:
1. Launch the VCS, VCS MX, or Cadence simulator.
2. On the File menu, click Change Directory > Select <example_design_directory>/ip_sim/testbench/
<simulator name>.
3. Run the run_altera_jes204_tb.sh file. This file compiles the design and runs the simulation automati‐
cally, providing a pass/fail indication on completion.
Related Information
Simulating Altera Designs
More information about Altera simulation models.
Compiling the JESD204B IP Core Design
Before you begin
Refer to the JESD204B IP Core Design Considerations on page 3-11 before compiling the JESD204B
IP core design.
To compile your design, click Start Compilation on the Processing menu in the Quartus II software. You
can use the generated .qip file to include relevant files into your project.
Related Information
• JESD204B IP Core Design Considerations on page 3-11
• Quartus II Help
More information about compilation in Quartus II software.
Altera Corporation
Getting Started
Send Feedback
UG-01142
2015.05.04
Programming an FPGA Device
After successfully compiling your design, program the targeted Altera device with the Quartus II
Programmer and verify the design in hardware. For instructions on programming the FPGA device, refer
to the Device Programming section in volume 3 of the Quartus II Handbook.
Related Information
Device Programming
JESD204B IP Core Design Considerations
You must be aware of the following conditions when integrating the JESD204B IP core in your design:
• Intergrating the IP core in Qsys
• Pin assignments
• Adding external transceiver PLL
• Timing constraints for the input clock
Integrating the JESD204B IP core in Qsys
You can integrate the JESD204B IP core with other Qsys components within Qsys.
Programming an FPGA Device
3-11
You can connect standard interfaces like clock, reset, Avalon-MM, Avalon-ST, HSSI bonded clock, HSSI
serial clock, and interrupt interfaces within Qsys. However, for conduit interfaces, you are advised to
export all those interfaces and handle them outside of Qsys.
(9)
This is because conduit interfaces are not
part of the standard interfaces. Thus, there is no guarantee on compatibility between different conduit
interfaces.
The Transport Layer provided in this JESD204B IP core design example is not supported in Qsys.
Note:
Therefore, you must export all interfaces that connect to the Transport Layer (for example,
jesd204_tx_link interface) and connect them to a transport layer outside of Qsys.
(9)
You can also connect conduit interfaces within Qsys but you must create adapter components to handle all
the incompatibility issues like incompatible signal type and width.
Getting Started
Send Feedback
Altera Corporation
3-12
Pin Assignments
Figure 3-4: Example of Connecting JESD204B IP Core with Other Qsys Components in Qsys
Figure shows an example of how you can connect the IP core with other Qsys components in Qsys.
UG-01142
2015.05.04
Related Information
Transport Layer on page 5-8
Pin Assignments
Set the pin assignments before you compile to provide direction to the Quartus II software Fitter tool. You
must also specify the signals that should be assigned to device I/O pins.
You can create virtual pins to avoid making specific pin assignments for top-level signals. This is useful
when you want to perform compilation, but are not ready to map the design to hardware. Altera
recommends that you create virtual pins for all unused top-level signals to improve timing closure.
Note:
Altera Corporation
Do not create virtual pins for the clock or reset signals.
Getting Started
Send Feedback
UG-01142
2015.05.04
Adding External Transceiver PLL
The JESD204B IP core variations that target an Arria 10 FPGA device require external transceiver PLLs
for compilation.
JESD204B IP core variations that target a V-series FPGA device contain transceiver PLLs. Therefore, no
external PLLs are required for compilation.
You are recommend to use an ATX PLL or CMU PLL to get a better jitter performance.
Note: The PMA width is 20 bits for Hard PCS and 40 bits for Soft PCS.
Related Information
Arria 10 Transceiver PHY User Guide
More information about the Arria 10 transceiver PLLs and clock network.
Timing Constraints For Input Clocks
When you generate the JESD204B IP core variation, the Quartus II software generates a Synopsys Design
Constraints File (.sdc) that specifies the timing constraints for the input clocks to your IP core.
When you generate the JESD204B IP core, your design is not yet complete and the JESD204B IP core is
not yet connected in the design. The final clock names and paths are not yet known. Therefore, the
Quartus II software cannot incorporate the final signal names in the .sdc file that it automatically
generates. Instead, you must manually modify the clock signal names in this file to integrate these
constraints with the timing constraints for your full design.
Adding External Transceiver PLL
3-13
This section describes how to integrate the timing constraints that the Quartus II software generates with
your IP core into the timing constraints for your design.
The Quartus II software automatically generates the altera_jesd204.sdc file that contains the JESD204B IP
core's timing constraints.
Three clocks are created at the input clock port:
• JESD204B TX IP core:
• txlink_clk
• reconfig_to_xcvr[0] (for Arria V, Cyclone V, and Stratix V devices only)
• reconfig_clk (for Arria 10 device only)
• tx_avs_clk
• JESD204B RX IP core:
• rxlink_clk
• reconfig_to_xcvr[0] (for Arria V, Cyclone V, and Stratix V devices only)
• reconfig_clk (for Arria 10 device only)
• rx_avs_clk
In a functional system design, these clocks (except for reconfig_to_xcvr[0] clock) are typically
provided by the core PLL.
Getting Started
Send Feedback
Altera Corporation
3-14
Timing Constraints For Input Clocks
UG-01142
2015.05.04
In the .sdc file for your project, make the following command changes:
• Specify the PLL clock reference pin frequency using the create_clock command.
• Derive the PLL generated output clocks from the Altera PLL IP Core (for Arria V, Cyclone V and
Stratix V) or Altera I/O PLL IP Core (for Arria 10) using the derive_pll_clocks command.
• Comment out the create_clock commands for the txlink_clk, reconfig_to_xcvr[0] or
reconfig_clk, and tx_avs_clk, rxlink_clk, and rx_avs_clk clocks in the altera_jesd204.sdc file.
• Identify the base and generated clock name that correlates to the txlink_clk, reconfig_clk, and
tx_avs_clk, rxlink_clk, and rx_avs_clk clocks using the report_clock command.
• Describe the relationship between base and generated clocks in the design using the
set_clock_groups command.
After you complete your design, you must modify the clock names in your .sdc file to the full-design clock
names, taking into account both the IP core instance name in the full design, and the design hierarchy. Be
careful when adding the timing exceptions based on your design, for example, when the JESD204B IP
core handles asynchronous timing between the txlink_clk, rxlink_clk, pll_ref_clk, tx_avs_clk,
rx_avs_clk, and reconfig_clk (for Arria 10 only) clocks.
The table below shows an example of clock names in the altera_jesd204.sdc and input clock names in the
user design. In this example, there is a dedicated input clock for the transceiver TX PLL and CDR at the
refclk pin. The device_clk is the input to the core PLL clkin pin. The IP core and transceiver Avalon-
MM interfaces have separate external clock sources with different frequencies.