DigitalDNA and Mfax are trademarks of Motorola, Inc.
The PowerPC name, the PowerPC logotype, and PowerPC 603e are trademarks of International Business Machines Corporation
used by Motorola under license from International Business Machines Corporation.
I2C is a registered trademark of Philips Semiconductors
Information in this document is provided solely to enable system and software implementers to use PowerPC microprocessors. There
are no express or implied copyright licenses granted hereunder to design or fabricate PowerPC integrated circuits or integrated
circuits based on the information in this document.
Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty,
representation or guarantee regarding the suitability of its products for any particular purpose, nor does Motorola assume any liability
arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation
consequential or incidental damages. “Typical” parameters which may be provided in Motorola data sheets and/or specifications can
and do vary in different applications and actual performance may vary over time. All operating parameters, including “Typicals” must
be validated for each customer application by customer’s technical experts. Motorola does not convey any license under its patent
rights nor the rights of others. Motorola products are not designed, intended, or authorized for use as components in systems
intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in
which the failure of the Motorola product could create a situation where personal injury or death may occur. Should Buyer purchase or
use Motorola products for any such unintended or unauthorized application, Buyer shall indemnify and hold Motorola and its officers,
employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable
attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or
unauthorized use, even if such claim alleges that Motorola was negligent regarding the design or manufacture of the part. Motorola
and are registered trademarks of Motorola, Inc. Motorola, Inc. is an Equal Opportunity/Affirmative Action Employer.
How to reach us:
USA/EUROPE/Locations Not Listed:
or 1–800–441–2447
JAPAN:
Motorola Japan Ltd.; SPS, Technical Information Center, 3–20–1, Minami–Azabu. Minato–ku, Tokyo 106–8573 Japan.
81–3–3440–3569
ASIA/PACIFIC:
Hong Kong. 852–26668334
Motorola Semiconductors H.K. Ltd.; Silicon Harbour Centre, 2 Dai King Street, Tai Po Industrial Estate, Tai Po, N.T.,
Motorola Literature Distribution; P.O. Box 5405, Denver, Colorado 80217. 1–303–675–2140
Signal Descriptions and Clocking
Address Maps
Configuration Registers
PowerPC Processor Core
MPC8240 Memory Interface
PCI Bus Interface
DMA Controller
Message Unit (I
2
I
C Interface
2
O)
Embedded Programmable Interrupt Controller (EPIC)
Central Control Unit
Error Handling
14
15
15
16
15
A
B
C
D
E
GLO
IND
Power Management
Debug Features
Programmable I/O and Watchpoint
Address Map A
Bit and Byte Ordering
Initialization Example
PowerPC Instruction Set
Processor Core Register Summary
Glossary of Terms and Abbreviations
Index
14-1MPC8240 Peripheral Logic Power States...................................................................14-7
15-1Example PCI Address Attribute Signal Timing for Burst Read Operations .............. 15-4
15-2Example PCI Address Attribute Signal Timing for Burst Write Operations..............15-5
15-364-Bit Mode, DRAM and SDRAM Physical Address for Debug.............................. 15-6
15-432-Bit Mode, DRAM and SDRAM Physical Address for Debug.............................. 15-7
15-564-Bit Mode, ROM and Flash Physical Address for Debug ...................................... 15-7
15-632-Bit Mode, ROM and Flash Physical Address for Debug ...................................... 15-7
15-78-Bit Mode, ROM and Flash Physical Address for Debug ........................................ 15-7
15-8Example FPM Debug Address, MIV, and MAA Timings for Burst Read Operation 15-9
15-9Example FPM Debug Address, MIV, and MAA Timings for Burst Write Operation15-10
15-10Example EDO Debug Address, MIV, and MAA Timings for Burst Read Operation15-11
15-11Example EDO Debug Address, MIV, and MAA Timings for Burst Write Operation15-12
15-12Example SDRAM Debug Address, MIV,
and MAA Timings for Burst Read Operation...........................................................15-13
15-13Example SDRAM Debug Address, MIV,
and MAA Timings for Burst Write Operation.......................................................... 15-14
15-14Example ROM Debug Address, MIV, and MAA Timings For Burst Read............. 15-15
15-15Example Flash Debug Address, MIV, and MAA Timings For Single-Byte Read... 15-16
15-16Example Flash Debug Address, MIV, and MAA Timings for Write Operation......15-16
15-17Functional Diagram of Memory Data Path Error Injection...................................... 15-17
E-10BAT Registers—Field and Bit Descriptions...............................................................E-16
E-11BAT Area Lengths .....................................................................................................E-17
E-12SDR1 Bit Settings.......................................................................................................E-17
E-13Segment Register Bit Settings (T = 0) ........................................................................E-18
E-14Conventional Uses of SPRG0–SPRG3.......................................................................E-18
E-15External Access Register (EAR) Bit Settings.............................................................E-20
E-16DCMP and ICMP Bit Settings....................................................................................E-21
E-17HASH1 and HASH2 Bit Settings ...............................................................................E-22
E-18RPA Bit Settings.........................................................................................................E-23
E-19Instruction Address Breakpoint Register Bit Settings ................................................E-23
E-20HID0 Field Descriptions.............................................................................................E-24
E-21HID0[BCLK] and HID0[ECLK] CKO Signal Configuration....................................E-27
E-22HID1 Field Descriptions.............................................................................................E-27
E-23HID2 Field Descriptions.............................................................................................E-28
Title
Page
Number
Tables xxxvii
TABLES
Table
Number
Title
Page
Number
xviii MPC8240 Integrated Processor User’s Manual
About This Book
The primary objective of this user’s manual is to define the functionality of the MPC8240
PowerPC™ integrated processor. The MPC8240 has a processor core based on the
PowerPC 603e™ low-power microprocessor; it also performs many peripheral functions
on-chip.
The MPC603e implements the full 32-bit portion of the PowerPC™ architecture. It is
important to note that this book is intended as a companion to the following publications:
•The PowerPC Microprocessor Family: The Programming Environments, (referred
to as The Programming Environments Manual)
Contact your local sales representative to obtain a copy. Because the PowerPC architecture
is designed to support a broad range of processors, The Programming EnvironmentsManual provides a general description of features that are common to Po werPC processors
and indicates those features that are optional or may be implemented differently in the
design of each processor.
The information is subject to change without notice, as described in the disclaimers on the
title page of this book. As with an y technical documentation, it is the reader’ s responsibility
to use the most recent version of the documentation. For more information, contact your
sales representative.
Audience
This manual is intended for system software and hardware developers and applications
programmers who want to develop products using the MPC8240 inte grated processor. It is
assumed that the reader understands operating systems, microprocessor system design, the
basic principles of RISC processing, and details of the PowerPC architecture.
About This Book xxxix
Organization
Organization
Following is a list describing the major sections of this manual:
• Chapter 1, “Overview,” is for readers who want a general understanding of the
features and functions of the MPC8240 device and its component parts.
• Chapter 2, “Signal Descriptions and Clocking,” provides descriptions of the
MPC8240’s external signals. It describes each signal’s behavior when the signal is
asserted and negated and when the signal is an input or an output.
• Chapter 3, “Address Maps, ” describes ho w the MPC8240 in host mode supports the
address map B configuration.
• Chapter 4, “Configuration Registers,” describes the programmable configuration
registers of the MPC8240.
• Chapter 5, “PowerPC Processor Core,” provides an overview of the basic
functionality of the G2 processor core.
• Chapter 6, “MPC107 Memory Interface,” describes the memory interface of the
MPC8240 and how it controls the processor and PCI interactions to main memory.
• Chapter 7, “PCI Bus Interface,” provides a rudimentary description of PCI bus
operations. The specific emphasis is directed at how the MPC8240 implements the
PCI bus.
• Chapter 8, “DMA Controller,” describes how the DMA controller operates on the
MPC8240.
• Chapter 9, “Message Unit (with I2O),” describes a mechanism to facilitate
communications between host and peripheral processors.
• Chapter 10, “I2C Interface,” describes the I
the MPC8240.
• Chapter 11, “Embedded Programmable Interrupt Controller (EPIC) Unit,” provides
a description of a general purpose interrupt controller solution using the EPIC
module of the MPC8240.
• Chapter 12, “Central Control Unit,” describes the internal buf fering and arbitration
logic of the MPC8240 central control unit (CCU).
• Chapter 13, “Error Handling,” describes ho w the MPC8240 handles dif ferent error
conditions.
• Chapter 14, “Power Management,” describes the many hardware support features
provided by the MPC8240 for power management.
• Chapter 15, “Debug Features,” describes the MPC8240 features that aid in the
process of system bring-up and debug.
2
C (inter-integrated circuit) interface on
xl MPC8240 Integrated Processor User’s Manual
Suggested Reading
• Chapter 16, “Programmable I/O and Watchpoint,” describes the capabilities of the
TRIG_IN signal, and how the TRIG_OUT signal can be generated based on
programmable watchpoints on the internal processor bus.
• Appendix A, “Address Map A.” The MPC8240 supports two address maps. This
appendix describes address map A.
• Appendix B, “Bit and Byte Ordering,” describes the big- and little-endian modes
and provides examples of each.
• Appendix C, “Initialization Example,” contains an example PowerPC assembly
language routine for initializing the configuration registers for the MPC8240 using
address map B.
• Appendix D, “PowerPC Instruction Set Listings,” lists the MPC8240
microprocessor’s instruction set as well as the additional PowerPC instructions not
implemented in the MPC8240.
• Appendix E, “Processor Core Register Summary,” summarizes the register set in
the processor core of the MPC8240 as defined by the three programming
environments of the PowerPC architecture.
Suggested Reading
This section lists additional reading that provides background for the information in this
manual as well as general information about the PowerPC architecture.
General Information
The following documentation provides useful information about the Po werPC architecture
and computer architecture in general:
•The following books are available from the PCI Special Interest Group, P.O. Box
14070, Portland, OR 97214; Tel. (800) 433-5177 (U.S.A.), (503) 797-4207
(International).
— Local Bus Specification, Rev 2.1
— PCI System Design Guide, Rev 1.0
•The following books are av ailable from the Morgan-Kaufmann Publishers, 340 Pine
Street, Sixth Floor, San Francisco, CA 94104; Tel. (800) 745-7323 (U.S.A.), (415)
392-2665 (International); web site: www.mkp.com; internet address:
mkp@mkp.com.
— The PowerPC Architecture: A Specification for a New Family of RISC
Processors, Second Edition, by International Business Machines, Inc.
— Updates to the architecture specification are accessible via the world-wide web
at http://www.austin.ibm.com/tech/ppc-chg.html.
— PowerPC Microprocessor Common Hardware Reference Platform: A System
About This Book xli
Suggested Reading
Architecture, by Apple Computer, Inc., International Business Machines, Inc.,
and Motorola, Inc.
— Macintosh Technology in the Common Hardwar e Reference Platform, by Apple
Computer, Inc.
— Computer Architecture: A Quantitative Approach, Second Edition, by
John L. Hennessy and David A. Patterson
— Computer Organization and Design: The Har dware/Software Interface, Second
Edition, by David A. Patterson and John L. Hennessy
•Inside Macintosh: RISC System Software, Addison-Wesley Publishing Company,
One Jacob Way, Reading, MA, 01867; Tel. (800) 282-2732 (U.S.A.), (800)
637-0029 (Canada), (716) 871-6555 (International)
PowerPC Documentation
The PowerPC documentation is available from the sources listed on the back cover of this
manual; the document order numbers are included in parentheses for ease in ordering:
•User’s manuals—These books provide details about individual PowerPC
implementations and are intended to be used in conjunction with The Pr ogramming
Environments Manual.
•Programming environments manuals—These books provide information about
resources defined by the PowerPC architecture that are common to PowerPC
processors. The 32- bit architecture model is described in P owerPC Micr opr ocessor Family: The Programming Environments for 32-Bit Microprocessors, Rev. 1:
MPCFPE32B/AD (Motorola order #)
•Implementation Variances Relative to Rev. 1 of The Programming Environments Manual is available via the world-wide web at http://www.motorola.com/PowerPC/.
•Addenda/errata to user’s manuals—Because some processors have follow-on parts
an addendum is provided that describes the additional features and changes to
functionality of the follow-on part. These addenda are intended for use with the
corresponding user’s manuals.
•Hardware specifications—Hardware specifications provide specific data regarding
bus timing, signal behavior, and AC, DC, and thermal characteristics, as well as
other design considerations for each PowerPC implementation.
•Technical Summaries—Each PowerPC implementation has a technical summary
that provides an overvie w of its features. This document is roughly the equi valent to
the overview (Chapter 1) of an implementation’s user’s manual.
•PowerPC Microprocessor Family: The Bus Interface for 32-Bit Microprocessors:
MPCBUSIF/AD (Motorola order #) provides a detailed functional description of the
60x bus interface, as implemented on the 601, 603, and 604 family of PowerPC
xlii MPC8240 Integrated Processor User’s Manual
microprocessors. This document is intended to help system and chipset developers
by providing a centralized reference source to identify the bus interface presented by
the 60x family of PowerPC microprocessors.
•PowerPC Microprocessor Family: The Programmer’s Reference Guide:
MPCPRG/D (Motorola order #) is a concise reference that includes the register
summary, memory control model, exception vectors, and the PowerPC instruction
set.
•PowerPC Microprocessor Family: The Programmer’s Pocket Reference Guide:
MPCPRGREF/D (Motorola order #)
This foldout card provides an overview of the PowerPC registers, instructions, and
exceptions for 32-bit implementations.
•Application notes—These short documents contain useful information about
specific design issues useful to programmers and engineers working with PowerPC
processors.
•Additional literature on PowerPC implementations is being released as new
processors become available. For a current list of PowerPC documentation, refer to
the world-wide web at http://www.mot.com/SPS/PowerPC/.
Conventions
This document uses the following notational conventions:
Conventions
mnemonicsInstruction mnemonics are shown in lowercase bold.
italicsItalics indicate variable command parameters, for example,
Book titles in text are set in italics.
0x0Prefix to denote hexadecimal number
0b0Prefix to denote binary number
rA, rBInstruction syntax used to identify a source GPR
rA|0The contents of a specified GPR or the value 0.
rDInstruction syntax used to identify a destination GPR
frA, frB, frCInstruction syntax used to identify a source FPR
frDInstruction syntax used to identify a destination FPR
REG[FIELD]Abbreviations or acronyms for registers are sho wn in uppercase text.
Specific bits, fields, or ranges appear in brackets. For example,
MSR[LE] refers to the little-endian mode enable bit in the machine
state register.
xIn certain contexts, such as a signal encoding, this indicates a don’t
care.
About This Book xliii
bcctrx.
Acronyms and Abbreviations
nUsed to express an undefined numerical value
¬NOT logical operator
&AND logical operator
|OR logical operator
||Concatenate logical operator
Indicates reserved bits or bit fields in a register. Although these bits
0 0 0 0
may be written to as either ones or zeros, they are always read as
zeros.
Acronyms and Abbreviations
Table i contains acronyms and abbreviations that are used in this document.
Table i. Acronyms and Abbreviated Terms
TermMeaning
ALUArithmetic logic unit
BATBlock address translation
BGABall grid array package
BISTBuilt-in self test
BIUBus interface unit
BPUBranch processing unit
CARCache address register
CASColumn address strobe
CBRCAS before RAS
CIACurrent instruction address
CMOSComplementary metal-oxide semiconductor
CRCondition register
CRTRYCache retry queue
CTRCount register
DARData address register
DBATData BAT
DCMPData TLB compare
DECDecrementer register
DIMMDual in-line memory module
DRAMDynamic random access memory
DMISSData TLB miss address
DSISRRegister used for determining the source of a DSI exception
DTLBData translation lookaside buffer
xliv MPC8240 Integrated Processor User’s Manual
Table i. Acronyms and Abbreviated Terms (Continued)
TermMeaning
EAEffective address
EARExternal access register
ECCError checking and correction
EDOExtended data out DRAM
ErrDRError detection register
ErrEnRError enabling register
FIFOFirst-in-first-out
FPRFloating-point register
FPSCRFloating-point status and control register
FPUFloating-point unit
GPRGeneral-purpose register
HASH1Primary hash address
HASH2Secondary hash address
IABRInstruction address breakpoint register
IBATInstruction BAT
ICMPInstruction TLB compare
IEEEInstitute for Electrical and Electronics Engineers
Int AckInterrupt acknowledge
IMISSInstruction TLB miss address
IQInstruction queue
ISAIndustry standard architecture
ITLBInstruction translation lookaside buffer
IUInteger unit
JTAGJoint test action group interface
L2Secondary cache
LIFOLast-in-first-out
LRLink register
LRULeast recently used
LSBLeast-significant byte
lsbLeast-significant bit
LSULoad/store unit
MICRMemory interface configuration register
MCCRMemory control configuration register
MEIModified/exclusive/invalid
MESIModified/exclusive/shared/invalid—cache coherency protocol
MMUMemory management unit
Acronyms and Abbreviations
About This Book xlv
Acronyms and Abbreviations
Table i. Acronyms and Abbreviated Terms (Continued)
TermMeaning
MSBMost-significant byte
msbMost-significant bit
MSRMachine state register
MuxMultiplex
NaNNot a number
No-opNo operation
OEAOperating environment architecture
PCIPeripheral component interconnect
PCIB/MCPCI bridge/memory controller
PICRProcessor interface configuration register
PIDProcessor identification tag
PIRProcessor identification register
PLLPhase-locked loop
PMCPower management controller
PMCRPower management configuration register
PTEPage table entry
PTEGPage table entry group
PVRProcessor version register
RASRow address strobe
RAWRead-after-write
RISCReduced instruction set computing
ROMRead-only memory
RPARequired physical address
RTLRegister transfer language
RWITMRead with intent to modify
SDR1Register that specifies the page table base address for virtual-to-physical address translation
SDRAMSynchronous dynamic random access memory
SIMMSingle in-line memory module
SPRSpecial-purpose register
SRSegment register
SRR0Machine status save/restore register 0
SRR1Machine status save/restore register 1
SRUSystem register unit
TAPTest access port
TBTime base facility
TBLTime base lower register
xlvi MPC8240 Integrated Processor User’s Manual
Acronyms and Abbreviations
Table i. Acronyms and Abbreviated Terms (Continued)
TermMeaning
TBUTime base upper register
TLBTranslation lookaside buffer
TTLTransistor-to-transistor logic
UIMMUnsigned immediate value
UISAUser instruction set architecture
UUTUnit under test
VCOVoltage-controlled oscillator
VEAVirtual environment architecture
WARWrite-after-read
WAWWrite-after-write
WIMGWrite-through/caching-inhibited/memory-coherency enforced/guarded bits
XERRegister used for indicating conditions such as carries and overflows for integer operations
About This Book xlvii
Acronyms and Abbreviations
xlviii MPC8240 Integrated Processor User’s Manual
Chapter 1
Overview
This chapter provides an overview of theMPC8240 PowerPC™ integrated processor for
high-performance embedded systems. The MPC8240 is a cost-effective, general-purpose
integrated processor for applications using PCI in networking infrastructure,
telecommunications, and other embedded markets. It can be used for control processing in
applications such as network routers and switches, mass storage subsystems, network
appliances, and print and imaging systems. For errata or revisions to this document, refer
to the web site at http://www.motorola.com/semiconductors.
1.1 MPC8240 Integrated Processor Overview
The MPC8240 integrated processor is comprised of a peripheral logic block and a 32-bit
superscalar PowerPC processor core, as shown in Figure 1-1.
The peripheral logic integrates a PCI bridge, memory controller, DMA controller, EPIC
interrupt controller, a message unit (and I
O controller), and an I2C controller. The
2
processor core is a full-featured, high-performance processor with floating-point support,
1-2MPC8240 Integrated Processor User’s Manual
MPC8240 Integrated Processor Overview
memory management, 16-Kbyte instruction cache, 16-Kbyte data cache, and power
management features. The integration reduces the overall packaging requirements and the
number of discrete devices required for an embedded system.
The MPC8240 contains an internal peripheral logic bus that interfaces the processor core
to the peripheral logic. The core can operate at a variety of frequencies, allowing the
designer to trade off performance for power consumption. The processor core is clocked
from a separate PLL, which is referenced to the peripheral logic PLL. This allows the
microprocessor and the peripheral logic block to operate at different frequencies, while
maintaining a synchronous bus interface. The interface uses a 64- or 32-bit data bus
(depending on memory data bus width) and a 32-bit address bus along with control signals
that enable the interface between the processor and peripheral logic to be optimized for
performance. PCI accesses to the MPC8240’s memory space are passed to the processor
bus for snooping when snoop mode is enabled.
The processor core and peripheral logic are general-purpose in order to serve a variety of
embedded applications. The MPC8240 can be used as either a PCI host or PCI agent
controller.
1.1.1 MPC8240 Integrated Processor Features
This section summarizes the features of the MPC8240. Major features of the MPC8240 are
as follows:
•Peripheral logic
— Memory interface
– Programmable timing supporting either FPM DRAM, EDO DRAM or
SDRAM
– High-bandwidth bus (32-/64-bit data bus) to DRAM
– Supports one to eight banks of 4-, 16-, 64-, or 128-Mbit memory devices
– Supports 1-Mbyte to 1-Gbyte DRAM memory
– 16 Mbytes of ROM space
– 8-, 32-, or 64-bit ROM
– Write buffering for PCI and processor accesses
– Supports normal parity, read-modify-write (RMW), or ECC
– Data-path buffering between memory interface and processor
– Low-voltage TTL logic (LVTTL) interfaces
– Port X: 8-, 32-, or 64-bit general-purpose I/O port using ROM controller
interface with programmable address strobe timing
— 32-bit PCI interface operating up to 66 MHz
– PCI 2.1-compliant
Chapter 1. Overview 1-3
MPC8240 Integrated Processor Overview
– PCI 5.0-V tolerance
– Support for PCI locked accesses to memory
– Support for accesses to PCI memory, I/O, and configuration spaces
– Selectable big- or little-endian operation
– Store gathering of processor-to-PCI write and PCI-to-memory write accesses
– Memory prefetching of PCI read accesses
– Selectable hardware-enforced coherency
– PCI bus arbitration unit (five request/grant pairs)
– PCI agent mode capability
– Address translation unit
– Some internal configuration registers accessible from PCI
— Two-channel integrated DMA controller (writes to ROM/Port X not supported)
– Supports direct mode or chaining mode (automatic linking of DMA transfers)
– Supports scatter gathering—read or write discontinuous memory
– Interrupt on completed segment, chain, and error
– Local-to-local memory
– PCI-to-PCI memory
– PCI-to-local memory
– PCI memory-to-local memory
— Message unit
– Two doorbell registers
– Two inbound and two outbound messaging registers
O message controller
–I
2
2
C controller with full master/slave support (except broadcast all)
– MIV signal: Marks valid address and data bus cycles on the memory bus.
– Error injection/capture on data path
– IEEE 1149.1 (JTAG)/test interface
•Processor core
— High-performance, superscalar processor core
— Integer unit (IU), floating-point unit (FPU) (software enabled or disabled),
load/store unit (LSU), system register unit (SRU), and a branch processing unit
(BPU)
— 16-Kbyte instruction cache
— 16-Kbyte data cache
— Lockable L1 cache—entire cache or on a per-way basis
1.1.2 MPC8240 Integrated Processor Applications
The MPC8240 can be used for control processing in applications such as routers, switches,
multi-channel modems, network storage, image display systems, enterprise I/O processor,
Internet access device (IAD), disk controller for RAID systems, and copier/printer board
control. Figure 1-2 shows the MPC8240 in the role of host processor.
MPC8240
DMA
MU(I2O)
I2C
EPIC
Processor Core
PCI Bus
Peripheral 1Peripheral 2
Peripheral
Logic
Peripheral 3
CTRL
ROM /
Port X
PCI-to-PCI
Bridge
Data
PCI Bus
Figure 1-2. System Using an Integrated MPC8240 as a Host Processor
Figure 1-3 shows the MPC8240 in a peripheral processor application.
Local Memory:
DRAM, EDO,
SDRAM
Chapter 1. Overview 1-5
MPC8240 Integrated Processor Overview
Host Processor
Peripheral
1
MPC8240
Processor Core
PCI Bus
Host Bridge
Peripheral 2
CTRL
ROM /
Port X
PeripheralPCI-to-PCI
3
Data
Host Memory
System
I/O
Controller
Local Memory:
DRAM, EDO,
SDRAM
Bridge
PCI Bus
Figure 1-3. Embedded System Using an MPC8240 as a Peripheral Processor
Figure 1-4 shows the MPC8240 as a distributed I/O processing device. The PCI-to-PCI
bridge shown could be of the PCI type 0 variety. The MPC8240 would not be part of the
system configuration map. This configuration is useful in applications such as RAID
controllers, where the I/O devices shown are SCSI controllers, or multi-port network
controllers where the devices shown are Ethernet controllers.
1-6MPC8240 Integrated Processor User’s Manual
Processor Core Overview
.
Host Processor
Host Bridge
PCI Bus
PeripheralPeripheral
13
Peripheral 2
Local PCI bus
MPC8240
DMA
MU(I2O)
I2C
EPIC
Processor Core
PCI-to-PCI
Bridge
Peripheral
Logic
I/O I/O
CTRL
ROM /
Port X
Data
Host Memory
DeviceDevice
Local Memory:
DRAM, EDO,
SDRAM
System
I/O
Controller
Figure 1-4. Embedded System Using an MPC8240 as a Distributed Processor
1.2 Processor Core Overview
The MPC8240 contains an embedded version of the PowerPC 603e™ processor. For
detailed information regarding the processor refer to the following:
•MPC603e & EC603e User’s Manual (Those chapters that describe the
programming model, cache model, memory management model, exception model,
and instruction timing)
•PowerPC Microprocessor Family: The Programming Environments for 32-Bit
Microprocessors
This section is an overview of the processor core, provides a block diagram showing the
major functional units, and describes briefly how those units interact. For more
information, refer to Chapter 2, “PowerPC Processor Core.”
The processor core is a low-power implementation of the PowerPC microprocessor family
of reduced instruction set computing (RISC) microprocessors. The processor core
implements the 32-bit portion of the PowerPC architecture, which provides 32-bit ef fectiv e
addresses, integer data types of 8, 16, and 32 bits, and floating-point data types of 32 and
64 bits.
Chapter 1. Overview 1-7
Processor Core Overview
The processor core is a superscalar processor that can issue and retire as many as three
instructions per clock. Instructions can execute out of order for increased performance;
however, the processor core makes completion appear sequential.
The processor core integrates five execution units—an integer unit (IU), a floating-point
unit (FPU), a branch processing unit (BPU), a load/store unit (LSU), and a system register
unit (SRU). The ability to execute five instructions in parallel and the use of simple
instructions with rapid execution times yield high efficiency and throughput. Most integer
instructions execute in one clock cycle. On the processor core, the FPU is pipelined so a
single-precision multiply-add instruction can be issued and completed every clock cycle.
The processor core supports integer data types of 8, 16, and 32 bits, and floating-point data
types of 32 and 64 bits.
The processor core provides independent on-chip, 16-Kbyte, four-way set-associative,
physically addressed caches for instructions and data and on-chip instruction and data
memory management units (MMUs). The MMUs contain 64-entry, two-way
set-associative, data and instruction translation lookaside buffers (DTLB and ITLB) that
provide support for demand-paged virtual memory address translation and variable-sized
block translation. The TLBs and caches use a least recently used (LRU) replacement
algorithm. The processor also supports block address translation through the use of two
independent instruction and data block address translation (IBAT and DBAT) arrays of four
entries each. Effective addresses are compared simultaneously with all four entries in the
BAT array during block translation. In accordance with the PowerPC architecture, if an
effective address hits in both the TLB and BAT array, the BAT translation takes priority.
As an added feature to the processor core, the MPC8240 can lock the contents of one to
three ways in the instruction and data cache (or an entire cache). For example, this allows
embedded applications to lock interrupt routines or other important (time-sensitive)
instruction sequences into the instruction cache. It allows data to be locked into the data
cache, which may be important to code that must have deterministic execution.
The processor core has a selectable 32- or 64-bit data bus and a 32-bit address bus. The
processor core supports single-beat and burst data transfers for memory accesses, and
supports memory-mapped I/O operations.
Figure 1-5 provides a block diagram of the MPC8240 processor core that shows how the
execution units (IU, FPU, BPU, LSU, and SR U) operate independently and in parallel. Note
that this is a conceptual diagram and does not attempt to show how these features are
physically implemented on the chip.
The MPC8240 contains an internal peripheral logic bus that interfaces the processor core
to the peripheral logic. The core can operate at a variety of frequencies allowing the
designer to balance performance and power consumption. The processor core is clocked
from a separate PLL, which is referenced to the peripheral logic PLL. This allows the
microprocessor and the peripheral logic to operate at different frequencies while
maintaining a synchronous bus interface.
The processor core-to-peripheral logic interface includes a 32-bit address bus, a 32- or
64-bit data bus as well as control and information signals. The peripheral logic bus allows
for internal address-only transactions as well as address and data transactions. The
processor core control and information signals include the address arbitration, address start,
address transfer, transfer attribute, address termination, data arbitration, data transfer, data
termination, and processor state signals. Test and control signals provide diagnostics for
selected internal circuits.
The peripheral logic interface supports bus pipelining, which allows the address tenure of
one transaction to overlap the data tenure of another . PCI accesses to the memory space are
monitored by the peripheral logic bus to allow the processor to snoop these accesses (when
snooping not explicitly disabled).
As part of the peripheral logic bus interface, the processor core’s data bus is configured at
power-up to either a 32- or 64-bit width. When the processor is configured with a 32-bit
data bus, memory accesses on the peripheral logic bus interface allow transfer sizes of 8,
16, 24, or 32 bits in one bus clock cycle. Data transfers occur in either single-beat
transactions, or two-beat or eight-beat burst transactions, with a single-beat transaction
transferring as many as 32 bits. Single- or double-beat transactions are caused by
noncached accesses that access memory directly (that is, reads and writes when caching is
disabled, caching-inhibited accesses, and stores in write-through mode). Eight-beat burst
transactions, which always transfer an entire cache line (32 bytes), are initiated when a line
is read from or written to memory.
When the peripheral logic bus interface is configured with a 64-bit data bus, memory
accesses allow transfer sizes of 8, 16, 24, 32, or 64 bits in one bus clock cycle. Data transfers
occur in either single-beat transactions or four-beat burst transactions. Single-beat
transactions are caused by noncached accesses that access memory directly (that is, reads
and writes when caching is disabled, caching-inhibited accesses, and stores in
write-through mode). Four-beat burst transactions, which always transfer an entire cache
line (32 bytes), are initiated when a block is read from or written to memory.
1-10MPC8240 Integrated Processor User’s Manual
Peripheral Logic Overview
1.4 Peripheral Logic Overview
The peripheral logic block integrates a PCI bridge, memory controller, DMA controller,
EPIC interrupt controller/timers, a message unit with an Intelligent Input/Output (I
message controller, and an Inter-Inte grated Circuit (I
2
C) controller. The integration reduces
the overall packaging requirements and the number of discrete devices required for an
embedded system.
Figure 1-6 shows the major functional units within the peripheral logic block. Note that this
is a conceptual block diagram intended to show the basic features rather than an attempt to
show how these features are physically implemented.
Major features of the peripheral logic are as follows:
•Peripheral logic bus
— Supports various operating frequencies and bus divider ratios
— 32-bit address bus, 64-bit data bus
— Supports full memory coherency
DLL
Fanout
Buffers
OSC_IN
Data Bus
(32- or 64-bit)
with 8-bit Parity
or ECC
Memory/ROM/
Port X Control/Address
SDRAM_SYNC_IN
SDRAM Clocks
PCI_SYNC_IN
PCI Bus
Clocks
Chapter 1. Overview 1-11
Peripheral Logic Overview
— Decoupled address and data buses for pipelining of peripheral logic bus accesses
— Store gathering on peripheral logic bus-to-PCI writes
•Memory interface
— 1 Gbyte of RAM space, 16 Mbytes of ROM space
— High-bandwidth, 64-bit data bus (72 bits including parity or ECC)
— Supports fast page mode DRAMs, extended data out (EDO) DRAMs, or
synchronous DRAMs (SDRAMs)
— Supports 1 to 8 banks of DRAM/EDO/SDRAM with sizes ranging from 1 to
128 Mbytes per bank
— Supports page mode SDRAMs—four open pages simultaneously
— DRAM/EDO configurations support parity or error checking and correction
(ECC); SDRAM configurations support ECC
— ROM space may be split between the PCI bus and the memory bus (8 Mbytes
each)
— Supports 8-bit asynchronous ROM, or 32- or 64-bit burst-mode ROM
— Supports writing to flash ROM
— Configurable data path
— Programmable interface timing
•PCI interface
— Compatible with PCI Local Bus Specification, Revision 2.1
— Supports PCI locked accesses to memory using the LOCK
signal and protocol
— Supports accesses to all PCI address spaces
— Selectable big- or little-endian operation
— Store gathering on PCI writes to memory
— Selectable memory prefetching of PCI read accesses
— Interface operates at up to 66 MHz
— Parity support
•Supports concurrent transactions on peripheral logic bus and PCI buses
1.4.2 Peripheral Logic Functional Units
The peripheral logic consists of the following major functional units:
•Peripheral logic bus interface
•Memory interface
•PCI interface
— PCI bus arbitration unit
— Address maps and translation
1-12MPC8240 Integrated Processor User’s Manual
Peripheral Logic Overview
— Big-and little-endian modes
— PCI agent capability
— PCI bus clock buffers and bus ratios
•DMA controller
•Message unit
— Doorbell registers
— Message registers
O support (circular queues)
—I
2
•Embedded programmable interrupt controller (EPIC) with four timers
2
•I
C interface
1.4.3 Memory System Interface
The MPC8240 memory interface controls processor and PCI interactions to main memory .
It supports a variety of DRAM, and Flash or ROM configurations as main memory. The
MPC8240 supports fast page mode (FPM), extended data out (EDO) and synchronous
DRAM (SDRAM). The maximum supported memory size is 1 Gbyte of DRAM or
SDRAM and 16 Mbytes of ROM/Flash. SDRAM must comply with the JEDEC SDRAM
specification.
The MPC8240 implements Port X, a memory bus interface that facilitates the connection
of general-purpose I/O devices. The Port X functionality allows the designer to connect
external registers, communication devices, and other such devices directly to the
MPC8240. Some devices may require a small amount of external logic to generate properly
address strobes, chip selects, and other signals.
The MPC8240 is designed to control a 32- or 64-bit data path to main memory DRAM or
SDRAM. For a 32-bit data path, the MPC8240 can be configured to check and generate
byte parity using four parity bits. For a 64-bit data path, the MPC8240 can be configured to
support parity or ECC checking and generation with eight parity/syndrome bits checked
and generated. Note that the data bus width (32- or 64-bit) chosen at reset for the 60x bus
interface is also used for the memory interface.
The MPC8240 supports DRAM or SDRAM bank sizes from 1 to 128 Mbytes and provides
bank start address and end address configuration registers. Note that the MPC8240 does not
support mixed DRAM/SDRAM configurations. The MPC8240 can be configured so that
appropriate row and column address multiplexing occurs according to the accessed
memory bank. Addresses are provided to DRAM and SDRAM through a 13-bit interface
for DRAM and a 14-bit interface for SDRAM.
Two chip selects, one write enable, one output enable, and up to 21 address signals are
provided for ROM/Flash systems.
The PCI interface for the MPC8240 is compliant with the Peripheral Component
Interconnect Specification Revision 2.1. The PCI interface provides mode-selectable, big-
to little-endian conversion. The MPC8240 provides an interface to the PCI bus running at
speeds up to 66 MHz.
The MPC8240’s PCI interface can be configured as host or agent. In host mode, the
interface acts as the main memory controller for the system and responds to all host
memory transactions.
In agent mode, the MPC8240 can be configured to respond to a programmed window of
PCI memory space. A variety of initialization modes are provided to boot the device.
1.4.4.1 PCI Bus Arbitration Unit
The MPC8240 contains a PCI bus arbitration unit, which eliminates the need for an external
unit, thus lowering system complexity and cost. It has the following features:
•Five external arbitration signal pairs. The MPC8240 is the sixth member of the
arbitration pool.
•The bus arbitration unit allows fairness as well as a priority mechanism.
•A two-level round-robin scheme is used in which each device can be programmed
within a pool of a high- or low-priority arbitration. One member of the low-priority
pool is promoted to the high-priority pool. As soon as it is granted the b us, it returns
to the low-priority pool.
•The unit can be disabled to allow a remote arbitration unit to be used.
1.4.4.2 Address Maps and Translation
The MPC8240’s processor bus supports memory-mapped accesses. The address space is
divided between memory and PCI according to one of two allowable address maps—map
A and map B. Note that the support of map A is pro vided for backw ard compatibility only.
It is strongly recommended that new designs use map B because map A may not be
supported in future devices.
An inbound and outbound PCI address translation mechanism is provided to support the
use of the MPC8240 in agent mode. Note that address translation is supported only for
agent mode; it is not supported when the MPC8240 is operating in host mode. Also note
that since agent mode is supported only for address map B, address translation is supported
only for address map B.
When the MPC8240 is configured to be a PCI agent, the amount of local memory visible
to the system is programmable. In addition, it may be necessary to map the local memory
to a different system memory address space. The address translation unit handles the
mapping of both inbound and outbound transactions for these cases.
1-14MPC8240 Integrated Processor User’s Manual
Peripheral Logic Overview
1.4.4.3 Byte Ordering
The MPC8240 allows the processor to run in either big- or little-endian mode (except for
the initial boot code which must run in big-endian mode).
1.4.4.4 PCI Agent Capability
In certain applications, the embedded system architecture dictates that the MPC8240 act as
a peripheral processor. In this case, the peripheral logic must not act like a host bridge for
the PCI bus. Instead it functions as a configurable device that is accessed by a host bridge.
This capability allows multiple MPC8240 devices to coexist with other PCI peripheral
devices on a single PCI bus. The MPC8240 has PCI 2.1- compliant configuration
capabilities.
1.4.5 DMA Controller
The integrated DMA controller contains two independent units. Note that the DMA writing
capability for local memory is available for DRAM and SDRAM, but writing is not
available for the ROM/Port X interface. Each DMA unit is capable of performing the
following types of transfers:
•PCI-to-local memory
•Local-to-PCI memory
•PCI-to-PCI memory
•Local-to-local memory
The DMA controller allows chaining through local memory-mapped chain descriptors.
Transfers can be scatter-gathered and misaligned. Interrupts are provided on completed
segment, chain, and error conditions.
1.4.6 Message Unit (MU)
Many embedded applications require handshake algorithms to pass control, status, and data
information from one owner to another. This is made easier with doorbell and message
registers. The MPC8240 has a message unit (MU) that implements doorbell and message
registers as well as an I
and it uses the EPIC unit to signal external interrupts to the PCI interface and internal
interrupts to the processor core.
1.4.6.1 Doorbell Registers
The MPC8240 MU contains one 32-bit inbound doorbell register and one 32-bit outbound
doorbell register . The inbound doorbell re gister allo ws a remote processor to set a bit in the
register from the PCI bus. This, in turn, generates an interrupt to the processor core.
The processor core can write to the outbound register, causing the outbound interrupt signal
INT
A to assert, thus interrupting a host processor on PCI. When INTA is generated, it can
be cleared only by the host processor by writing ones to the bits that are set in the outbound
doorbell register.
O interface. The MU has many conditions that can cause interrupts,
2
Chapter 1. Overview 1-15
Peripheral Logic Overview
1.4.6.2 Inbound and Outbound Message Registers
The MPC8240 contains two 32-bit inbound message registers and two 32-bit outbound
message registers. The inbound registers allo w a remote host or PCI master to write a 32-bit
value, causing an interrupt to the processor core. The outbound registers allow the
processor core to write an outbound message which causes the outbound interrupt signal
INT
A to assert.
1.4.6.3 Intelligent Input/Output Controller (I2O)
The intelligent I/O specification is an open standard that defines an abstraction layer
interface between the OS and subsystem drivers. Messages are passed between the message
abstraction layer from one device to another.
The I
O specification describes a system as being made up of host processors and
2
input/output platforms (IOPs). The host processor is a single processor or a collection of
processors working together to execute a homogenous operating system. An IOP consists
of a processor, memory, and I/O interfaces. The IOP functions separately from other
processors within the system to handle system I/O functions.
The I
O controller of the MU enhances communication between hosts and IOPs within a
2
system. There are two paths for messages—an inbound queue is used to transfer messages
from a remote host or IOP to the processor core, and an outbound queue is used to transfer
messages from the processor core to the remote host. Each queue is implemented as a pair
of FIFOs. The inbound and outbound message queues each consists of a free_list FIFO and
a post_list FIFO.
Messages are transferred between the host and the IOP using PCI memory-mapped
registers. The MPC8240’s I
O controller facilitates moving the messages to and from the
2
inbound and outbound registers and local IOP memory. Interrupts signal the host and IOP
to indicate the arrival of new messages.
1.4.7 Inter-Integrated Circuit (I2C) Controller
The I2C serial interface has become an industry de facto standard for communicating with
low-speed peripherals. Typically, it is used for system management functions and
EEPROM support. The MPC8240 contains an I
The integrated embedded programmable interrupt controller (EPIC) of the MPC8240
reduces the overall component count in embedded applications. The EPIC unit is designed
to collect external and internal hardware interrupts, prioritize them, and deliv er them to the
processor core.
1-16MPC8240 Integrated Processor User’s Manual
Peripheral Logic Overview
The module operates in one of three modes:
•In direct mode, five level- or edge-triggered interrupts can be connected directly to
an MPC8240.
•In pass-through mode, interrupts detected at the IRQ0 input are passed directly to
the processor core. Also in this case, interrupts generated by the I
controllers are passed to the L_INT
output signal.
O, I2C, and DMA
2
•The MPC8240 provides a serial delivery mechanism when more than five external
interrupt sources are needed. The serial mechanism allows for up to 16 interrupts to
be serially scanned into the MPC8240. This mechanism increases the number of
interrupts without increasing the number of pins.
The outbound interrupt request signal, L_INT
, is used to signal interrupts to the host
processor when the MPC8240 is configured for agent mode. The MPC8240 EPIC includes
four programmable timers that can be used for system timing or for generating periodic
interrupts.
1.4.9 Integrated PCI Bus and SDRAM Clock Generation
There are two PCI bus clocking solutions directed towards different system requirements.
For systems where the MPC8240 is the host controller with a minimum number of clock
loads, five clock fanout buffers are provided on-chip.
For systems requiring more clock fan out or where the MPC8240 is an agent device,
external clock buffers may be used.
The MPC8240 provides an on-chip delay-locked loop (DLL) that supplies the external
memory bus clock signals to SDRAM banks. The memory bus clock signals are of the same
frequency and synchronous with the internal peripheral bus clock.
The four SDRAM clock outputs are generated by the internal DLL and can account for the
trace length between SDRAM_SYNC_OUT signal and the SDRAM_SYNC_IN signal.
The MPC8240 requires a single clock input signal, PCI_SYNC_IN, which can be driven
by the PCI clock fan-out buffers—specifically the PCI_SYNC_OUT output.
PCI_SYNC_IN can also be driven by an external clock driver.
PCI_SYNC_IN is driven by the PCI bus frequenc y . An internal PLL, using PCI_SYNC_IN
as a reference, generates an internal sys-logic-clk signal that is used for the internal logic.
The peripheral bus clock frequency is configured at reset (by the MPC8240 PLL
configuration signals (PLL_CFG[0:4])) to be a multiple of the PCI_SYNC_IN frequency.
The internal clocking of the processor core is generated from and synchronized to the
internal peripheral bus clock by means of a second PLL. The core’ s PLL provides multiples
of the internal processor core clock rates as specified in the MPC8240 HardwareSpecification.
Chapter 1. Overview 1-17
Power Management
1.5 Power Management
TheMPC8240 provides both automatic and program-controllable power reduction modes
for progressive reduction of power consumption.
The MPC8240 has independent power management functionality for both the processor
core and the peripheral logic.The MPC8240 provides hardware support for three levels of
programmable power reduction for both the processor and the peripheral logic. Doze, nap,
and sleep modes are invoked by register programming—HID0 in the case of the processor
core and configuration registers in the case of the peripheral logic block.
The processor and peripheral logic blocks are both fully static, allowing internal logic states
to be preserved during all power-saving modes. The following sections describe the
programmable power modes.
1.5.1 Programmable Processor Power Management Modes
Table 1-1 summarizes the programmable power-saving modes for the processor core.
These are very similar to those available in the MPC603e device.
Table 1-1. Programmable Processor Power Modes
PM ModeFunctioning UnitsActivation MethodFull-Power Wake Up Method
Full powerAll units active——
Full power
(with DPM)
DozeBus snooping
NapDecrementer timerControlled by software
SleepNoneControlled by software
Requested logic by
demand
Data cache as needed
Decrementer timer
By instruction dispatch—
Controlled by software
(write to HID0)
(write to HID0) and
qualified with QA
from peripheral logic
(write to HID0) and
qualified with QA
from peripheral logic
CK
CK
External asynchronous exceptions
(assertion of SMI
Decrementer exception
Hard or soft reset
Machine check exception (mcp
External asynchronous exceptions
(assertion of SMI
Decrementer exception
Negation of QA
Hard or soft reset
Machine check exception (mcp
External asynchronous exceptions
(assertion of SMI
Negation of QA
Hard or soft reset
Machine check exception (mcp
or int),
)
, or int)
CK by peripheral logic
)
, or int)
CK by peripheral logic
)
1.5.2 Programmable Peripheral Logic Power Management
Modes
The following subsections describe the power management modes of the peripheral logic.
Table 1-2 summarizes the programmable power-saving modes for the peripheral logic
block.
1-18MPC8240 Integrated Processor User’s Manual
Programmable I/O Signals with Watchpoint
.
PM
Mode
Full
power
DozePCI address decoding and bus arbiter
NapPCI address decoding and bus arbiter
SleepPCI bus arbiter
1
2
All units active——
System RAM refreshing
Processor bus request and NMI monitoring
EPIC unit
I
PLL
System RAM refreshing
Processor bus request and NMI monitoring
EPIC unit
I
PLL
System RAM refreshing (can be disabled)
Processor bus request and NMI monitoring
EPIC unit
I
PLL (can be disabled)
Programmable option based on value of PICR1[MCP_EN] = 1
A PCI access to memory in nap mode does not cause QACK to negate; consequently, it does not wake up the
processor core, and the processor core won’t snoop this access. After servicing the PCI access, the peripheral
logic automatically returns to the nap mode.
Table 1-2. Peripheral Logic Power Modes Summary
Functioning UnitsActivation Method
Controlled by software
(write to PMCR1)
2
C unit
Controlled by software
(write to PMCR1) and
processor core in nap or
2
C unit
2
C unit
sleep mode (QREQ
asserted)
Controlled by software
(write to PMCR1) and
processor core in nap or
sleep mode (QREQ
asserted)
Full-Power W ake Up
Method
PCI access to memory
Processor bus request
Assertion of NMI
Interrupt to EPIC
Hard Reset
PCI access to memory
Processor bus request
Assertion of NMI
Interrupt to EPIC
Hard Reset
Processor bus request
Assertion of NMI
Interrupt to EPIC
Hard Reset
1
2
1
1
1.6 Programmable I/O Signals with Watchpoint
The MPC8240 programmable I/O facility allows the system designer to monitor the
peripheral logic bus. Up to two watchpoints and their respecti ve 4-bit countdown v alues can
be programmed. When the programmed threshold of the selected watchpoint is reached, an
external trigger signal is generated.
1.7 Debug Features
The MPC8240 includes the following debug features:
•Memory attribute and PCI attribute signals
•Debug address signals
•MIV
•Error injection/capture on data path
•IEEE 1149.1 (JTAG)/test interface
signal: Marks valid address and data bus cycles on the memory bus.
Chapter 1. Overview 1-19
Debug Features
1.7.1 Memory Attribute and PCI Attribute Signals
The MPC8240 provides additional information corresponding to memory and PCI activity
on several signals to assist with system debugging. The two types of attribute signals are
described as follows:
•The memory attribute signals are associated with the memory interface and provide
information concerning the source of the memory operation being performed by the
MPC8240.
•The PCI attribute signals are associated with the PCI interface and provide
information concerning the source of the PCI operation being performed by the
MPC8240.
1.7.2 Memory Debug Address
When enabled, the debug address provides software disassemblers a simple way to
reconstruct the 30-bit physical address for a memory bus transaction to DRAM and
SDRAM, ROM, FLASH, or PortX. For DRAM or SDRAM, these 16 deb ug address signals
are sampled with the column address and chip-selects. For ROMs, FLASH, and PortX
devices, the debug address pins are sampled at the same time as the ROM address and can
be used to recreate the 24-bit physical address in conjunction with ROM address. The
granularity of the reconstructed physical address is limited by the bus width of the interface;
double-words for 64-bit interfaces, words for 32-bit interfaces, and bytes for 8-bit
interfaces.
1.7.3 Memory Interface Valid (MIV)
The memory interface valid signal, MIV, is asserted whenever FPM, EDO, SDRAM,
FLASH, or ROM addresses or data are present on the external memory bus. It is intended
to help reduce the number of bus cycles that logic analyzers must store in memory during
a debug trace.
1.7.4 Error Injection/Capture on Data Path
The MPC8240 provides hardware to exercise and debug the ECC and parity logic by
allowing the user to inject multi-bit stuck-at faults onto the peripheral logic or memory
data/parity buses and to capture the data/parity output on receipt of an ECC or parity error .
1.7.5 IEEE 1149.1 (JTAG)/Test Interface
The processor core provides IEEE 1149.1 functions for facilitating board testing and
debugging. The IEEE 1149.1 test interface provides a means for boundary-scan testing the
processor core and the board to which it is attached.
1-20MPC8240 Integrated Processor User’s Manual
Chapter 2
Signal Descriptions and Clocking
This chapter provides descriptions of the MPC8240’s external signals. It describes each
signal’s beha vior when the signal is asserted and neg ated and when the signal is an input or
an output.
NOTE:
A bar over a signal name indicates that the signal is active
low—for example, AS
referred to as asserted (active) when they are low and negated
when they are high. Signals that are not active low, such as
NMI (nonmaskable interrupt), are referred to as asserted when
they are high and negated when they are low.
Internal signals are depicted as lower case and in italics. For
example, sys_logic_clk is an internal signal. These are
referenced only as necessary for understanding of the external
functionality of the device.
The chapter is organized into the following sections:
•Overview of signals and complete cross-reference for signals that serve multiple
functions. Includes listing of output signal states at reset.
•Signal description section that provides a detailed description of each signal, listed
by functional block
•A complete section on the operation of the many input and output clock signals on
the MPC8240, and the interactions between these signals
•A listing of the reset configuration signals and the modes they define
(address strobe). Active-low signals are
2.1 Signal Overview
The MPC8240’s signals are grouped as follows:
•PCI interface signals
•Memory interface signals
•EPIC control signals
2
•I
C interface signals
Chapter 2. Signal Descriptions and Clocking 2-1
Signal Overview
•System control, power management, and debug signals
•Test/configuration signals
•Clock signals
Figure 2-1 illustrates the external signals of the MPC8240, showing how the signals are
grouped. Refer to the MPC8240 Hardware Specification for a pinout diagram showing
actual pin numbers and a listing of all the electrical and mechanical specifications.
The following sections are intended to provide a quick summary of signal functions.
T able 2-1 provides an alphabetical cross-reference to the signals of the MPC8240. It details
the signal name, interface, alternate functions, number of signals, whether the signal is an
input, output, or bidirectional, and finally a pointer to the section in this chapter where the
signal is described.
ST
TBENTime base enableSystem Control—1I2.2.5.7
TCKJTAG test clockTest—1I2.2.6.2
TDOJTAG test data outputTest—1O2.2.6.4
TDIJTAG test data InputTest—1I2.2.6.3
TMSJTAG test mode selectTest—1I2.2.6.5
The MPC8240 samples these signals at the negation of reset to determine the reset configuration. After they
are sampled, they assume their normal functions. See Section 2.4, “Configuration Signals Sampled at Reset,”
for more information about their function during reset.
SDRAM row address
strobe
System errorPCI—1I/O2.2.1.12
Serial interrupt frameEPIC ControlIRQ31I/O2.2.3.2.4
System management
interrupt
JTAG test resetTest—1I2.2.6.6
Write enableMemory—1O2.2.2.5
Memory—1O2.2.2.13
System Control—1I2.2.5.5
Alternate
Function (s)
See Table 6-2
Pins I/OSection #
1O2.2.2.7
2.1.2 Output Signal States during Reset
When a system reset is recognized (assertion of HRST_CPU and HRST_CTRL), the
MPC8240 aborts all current internal and external transactions, and releases all bidirectional
I/O signals to a high-impedance state. See Section 13.2.1, “System Reset,” for a complete
description of the reset functionality.
There are 19 signals that serve alternate functions as reset configuration input signals
during system reset. Their default values and the interpretation of their v oltage levels during
reset are described in Section 2.4, “Configuration Signals Sampled at Reset.”
2-6MPC8240 Integrated Processor User’s Manual
Detailed Signal Descriptions
During reset, the MPC8240 ignores most input signals (except for PCI_SYNC_IN and the
reset configuration signals), and drives most of the output signals to an inactive state.
T able 2-2 shows the states of the output-only signals that are not used as reset configuration
signals during system reset.
Table 2-2. Output Signal States During System Reset
InterfaceSignalState During System Reset
PCIGNT
MemoryCAS/
ClockPCI_CLK[0:4]
System controlTRIG_OUTHigh impedance
DebugDA[15:11], DA2 Driven high
Test/ConfigurationTDONegated
[3:0]
INT
A
DQM[0:7]Driven high
/CS[0:7]
RAS
RCS1
SDRAS
SDCAS
WE
PAR[0:7]/AR[19:12],
SDMA[11:0]
SDMA12/SDBA1
SDBA0
PCI_SYNC_OUT
SDRAM_CLK[0:3]
SDRAM_SYNC_OUT
CKO
High impedance
Negated
High impedance
Driven
2.2 Detailed Signal Descriptions
The following subsections describe the MPC8240 input and output signals, the meaning of
their different states, and relative timing information for assertion and negation. In cases
where signals serve multiple functions (and have multiple names), they are described
individually for each function.
2.2.1 PCI Interface Signals
This section provides descriptions of the PCI interface signals on the MPC8240. Note that
throughout this manual, signals and bits of the PCI interface are referenced in little-endian
format. For more information on the operation of the MPC8240 PCI interface, see
Chapter 7, “PCI Bus Interface. ” Refer to the PCI Local Bus Specification, Revision 2.1 for
a thorough description of the PCI local bus and specific signal-to-signal timing
relationships for the PCI bus.
Chapter 2. Signal Descriptions and Clocking 2-7
Detailed Signal Descriptions
2.2.1.1 PCI Bus Request (REQ[4:0])—Input
The PCI bus request signals (REQ[4:0]) are inputs on the MPC8240, and they have a
different meaning depending on whether the MPC8240 PCI arbiter is enabled or disabled.
The PCI REQ
n signals are point-to-point, and every master has its own REQn signal.
2.2.1.1.1 PCI Bus Request (REQ
[4:0])—Internal Arbiter Enabled
The MPC8240 PCI arbiter is enabled by a low value on the reset configuration pin MAA2
or by the setting of bit 15 of the PCI arbitration control register. In this case, the REQ
signals are used in conjunction with the GNT
masters. Following is the state meaning for the REQ
[4:0] signals as the arbiter for up to five PCI
[4:0] input signals in this case.
[4:0]
State MeaningAsserted—External devices are requesting control of the PCI bus.
The MPC8240 acts on the requests as described in Section 7.2, “PCI
Bus Arbitration. ”
Negated—Indicates that no external devices are requesting the use of
the PCI bus.
2.2.1.1.2 PCI Bus Request (REQ
[4:0])—Internal Arbiter Disabled
The MPC8240 PCI arbiter is disabled by a high value on the reset configuration pin MAA2
or by the clearing of bit 15 of the PCI arbitration control register. In this case, the REQ0
becomes the PCI bus grant input for the MPC8240, and it is asserted when the external
arbiter is granting the use of the PCI bus to the MPC8240. Note that if the REQ0
signal is asserted prior to the need to run a PCI transaction, then the MPC8240 GNT0
input
signal
will not assert (the bus is parked) when a PCI transaction is to be run.
The REQ
the state meaning of the REQ0
State MeaningAsserted—The REQ0
[4:1] input signals are ignored when the internal arbiter is disabled. Following is
signal when the internal arbiter is disabled.
signal indicates that the MPC8240 is granted
control of the PCI bus. If REQ0
is asserted before the MPC8240 has
a transaction to perform (that is, the MPC8240 is parked), the
MPC8240 drives AD[31:0], C/BE
[3:0], and PAR to stable (but
meaningless) states until they are needed for a legitimate transaction.
Negated—REQ0
is negated when the MPC8240 is not granted
control of the PCI bus.
2.2.1.2 PCI Bus Grant (GNT[4:0])—Output
The PCI bus grant (GNT[4:0]) signals are outputs on the MPC8240 and they have a
different meaning depending on whether the MPC8240 PCI arbiter is enabled or disabled.
The PCI GNT
2.2.1.2.1 PCI Bus Grant (GNT
The MPC8240 PCI arbiter is enabled by a low value on the reset configuration pin MAA2
or by the setting of bit 15 of the PCI arbitration control register . In this case, the GNT
2-8MPC8240 Integrated Processor User’s Manual
n signals are point-to-point; every master has its own GNTn signal.
[4:0])—Internal Arbiter Enabled
[4:0]
Detailed Signal Descriptions
signals are used in conjunction with the REQ[4:0] signals as the arbiter for up to five PCI
masters. Following is the state meaning for the GNT
[4:0] input signals in this case.
State MeaningAsserted—The MPC8240 has granted control of the PCI bus to a
requesting master, using the priority scheme described in
Section 7.2, “PCI Bus Arbitration.” The MPC8240 will assert only
one GNT
n signal during any clock cycle.
Negated—Indicates that the MPC8240 has not granted control of the
PCI bus and external devices may not initiate a PCI transaction.
2.2.1.2.2 PCI Bus Grant (GNT
[4:0])—Internal Arbiter Disabled
The MPC8240 PCI arbiter is disabled by a high value on the reset configuration pin MAA2
or by the clearing of bit 15 of the PCI arbitration control register. In this case, the GNT0
becomes the PCI bus request output for the MPC8240 and is asserted when the MPC8240
needs to run a PCI transaction. If the REQ0
a PCI transaction, then the GNT0
signal will not assert (the bus is parked) when a PCI
transaction is to be run. Following is the state meaning for the GNT
input signal is asserted prior to the need to run
[4:0] input signals when
the internal arbiter is disabled.
State MeaningAsserted—The MPC8240 asserts the GNT0
request output signal. GNT
Negated—The GNT
mode. GNT0
is negated when the MPC8240 is not requesting control
[4:1] signals do not assert in this case.
[4:1] signals are driven high (negated) in this
signal as the PCI bus
of the PCI bus or the bus is parked on the MPC8240.
2.2.1.3 PCI Address/Data Bus (AD[31:0])
The PCI address/data bus (AD[31:0]) consists of 32 signals that are both input and output
signals on the MPC8240.
2.2.1.3.1 Address/Data (AD[31:0])—Output
Following is the state meaning for AD[31:0] as outputs.
State MeaningAsserted/Negated—Represents the physical address during the
address phase of a PCI transaction. During a data phase of a PCI
transaction, AD[31:0] contain data being written.
The AD[7:0] signals define the least-significant byte and AD[31:24]
the most-significant byte.
2.2.1.3.2 Address/Data (AD[31:0])—Input
Following is the state meaning for AD[31:0] as inputs.
State MeaningAsserted/Negated—Represents the address to be decoded as a check
for device select during an address phase of a PCI transaction or data
being received during a data phase of a PCI transaction.
Chapter 2. Signal Descriptions and Clocking 2-9
Detailed Signal Descriptions
2.2.1.4 Parity (PAR)
The PCI parity (PAR) signal is both an input and output signal on the MPC8240. See
Section 7.6.1, “PCI Parity,” for more information on PCI parity.
2.2.1.4.1 Parity (PAR)—Output
Following is the state meaning for PAR as an output signal.
State MeaningAsserted—This signal is driven by the MPC8240 to indicate odd
parity across the AD[31:0] and C/BE
[3:0] signals (driven by the
MPC8240) during the address and data phases of a transaction.
Negated—Indicates even parity across the AD[31:0] and
C/BE
[3:0] signals driven by the MPC8240 during address and data
phases.
2.2.1.4.2 Parity (PAR)—Input
Following is the state meaning for PAR as an input signal.
State MeaningAsserted—Indicates odd parity driven by another PCI master or the
PCI target during read data phases.
Negated—Indicates even parity dri v en by another PCI master or the
PCI target during read data phases.
2.2.1.5 Command/Byte Enable (C/BE[3:0])
The four command/byte enable (C/BE[3:0]) signals are both input and output signals on the
MPC8240.
2.2.1.5.1 Command/Byte Enable (C/BE
Following is the state meaning for C/BE
State MeaningAsserted/Negated—During the address phase, C/BE
[3:0])—Output
[3:0] as output signals.
[3:0] define the
bus command of the transaction initiated by the MPC8240 as a PCI
master. Table 2-3 summarizes the PCI bus command encodings. See
Section 7.3.2, “PCI Bus Commands,” for more detailed information
on the bus commands.
During the data phase, C/BE
[3:0] are used as byte enables. Byte
enables determine which byte lanes carry meaningful data. The
C/BE0
The MPC8240 does not generate this command or
the reserved commands.
1
Detailed Signal Descriptions
2.2.1.5.2 Command/Byte Enable (C/BE[3:0])—Input
Following is the state meaning for C/BE
State MeaningAsserted/Negated—During the address phase, C/BE
[3:0] as input signals.
[3:0] indicate
the command that another master is sending. The MPC8240 uses the
value on these signals (in addition to the address) to determine
whether it is a target for a transaction. T able 2-3 summarizes the PCI
bus command encodings. See Section 7.3.3, “ Addressing,” for more
information.
During the data phase, C/BE
[3:0] indicate which byte lanes are valid.
2.2.1.6 Device Select (DEVSEL)
The device select (DEVSEL) signal is both an input and output on the MPC8240.
2.2.1.6.1 Device Select (DEVSEL
Following is the state meaning for DEVSEL
State MeaningAsserted—Indicates that the MPC8240 has decoded the address of a
PCI transaction, and it is the target of the current access.
Negated—Indicates that the MPC8240 has decoded the address and
is not the target of the current access.
Chapter 2. Signal Descriptions and Clocking 2-11
)—Output
as an output.
Detailed Signal Descriptions
2.2.1.6.2 Device Select (DEVSEL)—Input
Following is the state meaning for DEVSEL
as an input signal.
State MeaningAsserted—Indicates that some PCI target (other than the MPC8240)
has decoded its address as the target of the current access. This is
useful to the MPC8240 when it is the initiator of a PCI transaction.
Negated—Indicates that no PCI target has been selected.
2.2.1.7 Frame (FRAME)
The frame (FRAME) signal is both an input and output on the MPC8240.
2.2.1.7.1 Frame (FRAME
Following is the state meaning for FRAME
State MeaningAsserted—Indicates that the MPC8240, acting as a PCI master, is
initiating a bus transaction. While FRAME
may continue.
Negated—If IRD
in the final data phase. If IRD
bus is idle.
2.2.1.7.2 Frame (FRAME
Following is the state meaning for FRAME
State MeaningAsserted—Indicates that another PCI master is initiating a bus
transaction and causes the MPC8240 to decode the address and the
command signals to see if it is the target of the transaction.
Negated—Indicates that the transaction is in the final data phase or
that the bus is idle.
)—Output
as an output.
is asserted, data transfers
Y is asserted, indicates that the PCI transaction is
Y is negated, it indicates that the PCI
)—Input
as an input signal.
2.2.1.8 Initiator Ready (IRDY)
The initiator ready (IRDY) signal is both an input and output on the MPC8240.
2.2.1.8.1 Initiator Ready (IRD
Following is the state meaning for IRD
State MeaningAsserted—Indicates that the MPC8240, acting as a PCI master, can
complete the current data phase of a PCI transaction. During a write,
the MPC8240 asserts IRD
AD[31:0]. During a read, the MPC8240 asserts IRD
it is prepared to accept data.
Negated—Indicates that the PCI target needs to wait before the
MPC8240, acting as a PCI master, can complete the current data
phase. During a write, the MPC8240 negates IRD
2-12MPC8240 Integrated Processor User’s Manual
Y)—Output
Y as an output.
Y to indicate that valid data is present on
Y to indicate that
Y to insert a wait
Detailed Signal Descriptions
cycle when it cannot provide valid data to the target. During a read,
the MPC8240 negates IRD
Y to insert a wait cycle when it cannot
accept data from the target.
2.2.1.8.2 Initiator Ready (IRD
Following is the state meaning for IRD
Y)—Input
Y as an input signal.
State MeaningAsserted—Indicates another PCI master is able to complete the
current data phase of a transaction.
Negated—If FRAME
is asserted, it indicates a wait cycle from
another master. This is used by the MPC8240 to insert wait cycles
when it is a target of a PCI transaction. If FRAME
is negated, it
indicates the PCI bus is idle.
2.2.1.9 Lock (LOCK)—Input
The lock (LOCK) signal is an input on the MPC8240. See Section 7.5, “Exclusive Access,”
for more information. Following is the state meaning for the LOCK
input signal.
State MeaningAsserted—Indicates that a master is requesting exclusive access to
memory, which may require multiple transactions to complete.
Negated—Indicates that a normal operation is occurring on the bus,
or an access to a locked target is occurring.
2.2.1.10 Target Ready (TRDY)
The target ready (TRDY) signal is both an input and output signal on the MPC8240.
2.2.1.10.1 Targ et Ready (TRD
Following is the state meaning for TRD
State MeaningAsserted—Indicates that the MPC8240, acting as a PCI target, can
complete the current data phase of a PCI transaction. During a read,
the MPC8240 asserts TRD
AD[31:0]. During a write, the MPC8240 asserts TRD
that it is prepared to accept data.
Negated—Indicates that the PCI initiator needs to wait before the
MPC8240, acting as a PCI target, can complete the current data
phase. During a read, the MPC8240 negates TRD
cycle when it cannot provide valid data to the initiator. During a
write, the MPC8240 negates TRD
cannot accept data from the initiator.
Y)—Output
Y as an output signal.
Y to indicate that valid data is present on
Y to indicate
Y to insert a wait
Y to insert a wait cycle when it
Chapter 2. Signal Descriptions and Clocking 2-13
Detailed Signal Descriptions
2.2.1.10.2 Targ et Ready (TRDY)—Input
Following is the state meaning for TRD
Y as an input signal.
State MeaningAsserted—Indicates another PCI target is able to complete the
current data phase of a transaction. If the MPC8240 is the initiator of
the transaction, it latches the data (on a read) or cycles the data on a
write.
Negated—Indicates a wait cycle needed by a target. If the MPC8240
is the initiator of the transaction, it waits to latch the data (on a read)
or continues to drive the data (on a write).
2.2.1.11 Parity Error (PERR)
The PCI parity error (PERR) signal is both an input and output signal on the MPC8240. See
Section 13.2.3.2, “Parity Error (PERR), ” and Section 4.8.2, “Error Enabling and Detection
Registers, ” for more information on ho w the MPC8240 is set up to report parity errors. The
PCI initiator drives PERR
operations.
2.2.1.11.1 Parity Error (PERR
Following is the state meaning for PERR
State MeaningAsserted—Indicates that the MPC8240, acting as a PCI agent,
2.2.1.11.2 Parity Error (PERR
on read operations; the PCI target drives PERR on write
)—Output
as an output signal.
detected a data parity error.
Negated—Indicates no error.
)—Input
Following is the state meaning for PERR
as an input signal.
State MeaningAsserted—Indicates that another PCI agent detected a data parity
error while the MPC8240 was sourcing data (the MPC8240 was
acting as the PCI initiator during a write, or was acting as the PCI
target during a read).
Negated—Indicates no error.
2.2.1.12 System Error (SERR)
The PCI system error (SERR) signal is both an input and output signal on the MPC8240. It
is an open-drain signal and can be driven by multiple devices on the PCI bus. Refer to
Section 13.2.3.1, “System Error (SERR),” and Section 4.8.2, “Error Enabling and
Detection Registers, ” for more information on how the MPC8240 dri ves and reports system
errors.
2-14MPC8240 Integrated Processor User’s Manual
2.2.1.12.1 System Error (SERR)—Output
Detailed Signal Descriptions
Following is the state meaning for SERR
as an output signal.
State MeaningAsserted—Indicates that an address parity error, a target-abort (when
the MPC8240 is acting as the initiator), or some other system error
(where the result is a catastrophic error) was detected.
Negated—Indicates no error.
2.2.1.12.2 System Error (SERR
Following is the state meaning for SERR
)—Input
as an input signal.
State MeaningAsserted—Indicates that a target (other than the MPC8240) has
detected a catastrophic error.
Negated—Indicates no error.
2.2.1.13 Stop (STOP)
The stop (STOP) signal is both an input and output signal on the MPC8240. Refer to
Section 7.4.3.2, “Target-Initiated Termination,” for more information on the use of the
ST
OP signal.
2.2.1.13.1 Stop (ST
Following is the state meaning for ST
State MeaningAsserted—Indicates that the MPC8240, acting as a PCI target, is
OP)—Output
OP as an output signal.
requesting that the initiator stop the current transaction.
Negated—Indicates that the current transaction can continue.
2.2.1.13.2 Stop (ST
Following is the state meaning for ST
OP)—Input
OP as an input signal.
State MeaningAsserted—Indicates that when the MPC8240 is acting as a PCI
initiator, it is receiving a request from the target to stop the current
transaction.
Negated—Indicates that the current transaction can continue.
2.2.1.14 Interrupt Request (INTA)—Output
Following is the state meaning for INTA. This signal is primarily used when the MPC8240
is programmed in agent mode.
State MeaningAsserted—Indicates that the MPC8240 is requesting an interrupt on
the PCI bus. These interrupts are caused by the on-chip DMA
controller and the message unit.
Negated—Indicates that the MPC8240 is not requesting an interrupt
on the PCI bus.
Chapter 2. Signal Descriptions and Clocking 2-15
Detailed Signal Descriptions
2.2.1.15 ID Select (IDSEL)—Input
Following is the state meaning for IDSEL. See Section 7.3.3.3, “Configuration Space
Addressing, ” for more information about the role of the IDSEL signal in PCI configuration
transactions.
State MeaningAsserted—When the C/BE
[3:0] encoding is set to configuration
read/write, IDSEL indicates that the PCI configuration registers on
the MPC8240 are being accessed.
Negated—Indicates that there is no configuration access for this
device in progress.
Note that the MPC8240 must not issue PCI configuration transactions to itself (that is, for
PCI configuration transactions initiated by the MPC8240, its IDSEL signal must not be
asserted). The MPC8240 must use the method described in Section 4.1, “Configuration
Register Access,” to access its own configuration registers. If the MPC8240 is in host mode
and other PCI agents do not need to access the MPC8240’s configuration space, then it is
recommended that this signal be pulled down.
2.2.2 Memory Interface Signals
The memory interface supports either standard DRAMs, extended data out DRAMs (EDO
DRAMs), or synchronous DRAMs (SDRAMs) and either standard ROM or Flash de vices.
Some of the memory interface signals perform different functions (and are described by an
alternate name) depending on the RAM and ROM configurations. This section provides a
brief description of the memory interface signals on the MPC8240, listed individually by
both their primary and alternate names, describing the relevant function in each section. F or
more information on the operation of the memory interface, see Chapter 6, “MPC8240
Memory Interface.”
2.2.2.1 Row Address Strobe (RAS[0:7])—Output
The eight row address strobe (RAS[0:7]) signals are outputs on the MPC8240. Following
are the state meaning and timing comments for the RAS
State MeaningAsserted—Indicates that the memory row address is valid and selects
one of the rows in the selected bank for DRAM memory.
Negated—Indicates DRAM precharge period.
Timing Comments Assertion—The MPC8240 asserts the RAS
memory cycle. All other memory interface signal timings are
referenced to RAS
2-16MPC8240 Integrated Processor User’s Manual
n.
n output signals.
n signal to begin a
Detailed Signal Descriptions
2.2.2.2 Column Address Strobe (CAS[0:7])—Output
The eight column address strobe (CAS[0:7]) signals are outputs on the MPC8240. CAS0
connects to the most-significant byte select. CAS7 connects to the least-significant byte
select. When the MPC8240 is operating in 32-bit mode (see MCCR1[DBUS_SIZ[0:1]), the
CAS
[0:3] signals are used. Following are the state meaning and timing comments for the
CAS
n output signals.
State MeaningAsserted—Indicates that the DRAM (or EDO) column address is
valid and selects one of the columns in the row.
Negated—For DRAMs, it indicates CAS
n precharge, and that the
current DRAM data transfer has completed.
—or—
For EDO DRAMs, it indicates CAS
data transfer completes in the first clock cycle of CAS
Timing Comments Assertion—The MPC8240 asserts CAS
after the assertion of RAS
MCCR3[RCD
] parameter). See Section 6.3.5, “FPM or EDO
2
n (depending on the setting of the
n precharge, and that the current
n precharge.
n two to eight clock cycles
DRAM Interface Timing,” for more information.
2.2.2.3 SDRAM Command Select (CS[0:7])—Output
The eight SDRAM command select (CS[0:7]) signals are output on the MPC8240.
Following are the state meaning and timing comments for the CS
State MeaningAsserted—Selects an SDRAM bank to perform a memory operation.
Negated—Indicates no SDRAM action during the current cycle.
Timing Comments Assertion—The MPC8240 asserts the CS
cycle. For SDRAM, CS
n is valid on the rising edge of the
SDRAM_CLK[0:3] clock signals.
n output signals.
n signal to begin a memory
2.2.2.4 SDRAM Data Input/Output Mask (DQM[0:7])—Output
The eight SDRAM data input/output mask (DQM[0:7]) signals are outputs on the
MPC8240. Following are the state meaning and timing comments for the DQMn output
signals. DQM0 connects to the most significant byte select, and DQM7 connects to the least
significant byte select. Note that parity memory can be connected to any DQMn signal.
State MeaningAsserted—Prevents writing to SDRAM. Note that the DQMn
signals are active-high for SDRAM. DQMn is part of the SDRAM
command encoding. See Section 6.2, “SDRAM Interface
Operation,” for more information.
Negated—Allows a read or write operation to SDRAM.
Timing Comments Assertion—For SDRAM, DQMn is valid on the rising edge of the
SDRAM_CLK[0:3] clock signals during read or write cycles.
Chapter 2. Signal Descriptions and Clocking 2-17
Detailed Signal Descriptions
2.2.2.5 Write Enable (WE)—Output
The write enable (WE) signal is an output on the MPC8240. For SDRAM, WE is part of
the SDRAM command encoding. See Section 6.2, “SDRAM Interface Operation,” for
more information. Following are the state meaning and timing comments for the WE
output
signal for DRAM, ECO and Flash writes.
State MeaningAsserted—Enables writing to DRAM, EDO, or Flash.
Negated—No DRAM, EDO, or Flash write operation is pending.
Timing Comments Assertion—For DRAM, the MPC8240 asserts WE
the column address and prior to CAS
asserts WE
concurrent with SDCAS for write operations.
n. For SDRAM, the MPC8240
concurrent with
2.2.2.6 SDRAM Address (SDMA[11:0])—Output
The SDMA[11:0] signals carry 12 of the address bits for the memory interface. For
(S)DRAMs, they correspond to the row and column address bits.
State MeaningAsserted/Negated—Contain different portions of the address
depending on the size of memory in use, the type of memory in use
(DRAM, SDRAM, ROM or Flash) and the phase of the transaction.
See Section 6.2.2, “SDRAM Address Multiplexing”, for a complete
description of the mapping of these signals in all cases.
Timing Comments Assertion—For DRAM, the row address is considered valid on the
assertion of RAS
of CAS
n. For SDRAM, the row address is v alid on the rising edge of
SDRAM_CLK[0:3] clock signals when CS
column address is valid on the rising edge of SDRAM_CLK[0:3]
when DQMn is asserted. For ROM and Flash, the address is valid
with the assertion of RCS0
n, and the column address is valid on the assertion
n is asserted and the
.
2.2.2.7 SDRAM Address 12 (SDMA12)—Output
The SDMA12 signal is similar to SDMA[11:0] in that it corresponds to different row or
column address bits, depending on the memory in use.
State MeaningAsserted/Negated—See Section 6.2.2, “SDRAM Address
Multiplexing,” for a complete description of the mapping of this
signal in all cases.
Timing Comments Assertion/Negation—The same as SDMA[11:0].
2.2.2.8 SDRAM Internal Bank Select 0–1 (SDBA0, SDBA1)—Output
The SDBA[0:1] signals are similar to SDMA[11:0] in that they correspond to dif ferent ro w
or column address bits, depending on the memory in use. However, they are only used for
the SDRAM interface. Note that SDBA1 is multiplexed with the SDMA12 signal.
2-18MPC8240 Integrated Processor User’s Manual
Detailed Signal Descriptions
State MeaningAsserted/Negated—Selects the SDRAM internal bank to be
activated during the row address phase and selects the SDRAM
internal bank for the read or write operation during the column
address phase of the memory access. See Section 6.2.2, “SDRAM
Address Multiplexing, ” for a complete description of the mapping of
these signals in all cases.
Timing Comments Assertion/Negation—The row address is valid on the rising edge of
SDRAM_CLK[0:3] clock signals when CS
n is asserted and the
column address is valid on the rising edge of SDRAM_CLK[0:3]
when DQMn is asserted.
2.2.2.9 Memory Data Bus (MDH[0:31], MDL[0:31])
The memory data bus (MDH[0:31], MDL[0:31]) consists of 64 signals that are both input
and output signals on the MPC8240. The data bus is comprised of two halves—data bus
high (MDH[0:31]) and data bus low (MDL[0:31]).
The MPC8240 can also be configured to operate with a 32-bit data bus on the memory
interface by driving the reset configuration signal MDL0 low during reset. When the
MPC8240 is configured with a 32-bit data bus, the bus operates in the same way as when
configured with a 64-bit data bus, with the exception that only MDH[0:31] is used, and
MDL[0:31] can be left floating. For more information on other data bus sizes available for
the ROM/Flash/Port X interfaces, see Chapter 6, “MPC8240 Memory Interface.”
Table 2-4 specifies the byte lane assignments (and data parity signal correspondence) for
the transfer of an aligned double word in both 64- and 32-bit modes.
2.2.2.9.1 Memory Data Bus (MDH[0:31], MDL[0:31])—Output
Following are the state meaning and timing comments for the memory data bus as output
signals.
State MeaningAsserted/Negated—Represents the value of data being driven by the
MPC8240.
Timing Comments Assertion/Negation—For DRAM accesses, the data bus signals are
valid when CAS
[0:7] and WE are asserted. For SDRAM, the data
bus signals are valid on the next rising edge of SDRAM_CLK[0:3]
after DQM[0:7] is asserted for a write command. For ROM/Flash
memory and Port X, the data bus signals are valid on the assertion of
RCS0
.
2.2.2.9.2 Memory Data Bus (MDH[0:31], MDL[0:31])—Input
Following are the state meaning and timing comments for the data bus as input signals.
Note that MDL0 is a reset configuration input signal.
State MeaningAsserted/Negated—Represents the value of data being driven by the
memory subsystem on a read.
Timing Comments Assertion/Negation—For a memory read transaction, the data bus
signals are valid at a time dependent on the memory interface
configuration parameters. Refer to Chapter 4, “Configuration
Registers, ” and Chapter 6, “MPC8240 Memory Interface,” for more
information.
2.2.2.10 Data Parity/ECC (PAR[0:7])
The eight data parity/ECC (PAR[0:7]) signals are both input and output signals on the
MPC8240.
2.2.2.10.1 Data Parity (PAR[0:7])—Output
Following are the state meaning and timing comments for PAR[0:7] as output signals.
State MeaningAsserted/Negated—Represents the byte parity or ECC bits being
written to memory (PAR0 is the most-significant parity bit and
corresponds to byte lane 0 which is selected by CAS0
The data parity signals are asserted or negated as appropriate to
provide odd parity (including the parity bit) or ECC.
Timing Comments Assertion/Negation—PAR[0:7] are valid concurrent with
MDH[0:31] and MDL[0:31].
2-20MPC8240 Integrated Processor User’s Manual
or DQM0).
Detailed Signal Descriptions
2.2.2.10.2 Data Parity (PAR[0:7])—Input
Following are the state meaning and timing comments for PAR[0:7] as input signals.
State MeaningAsserted/Negated—Represents the byte parity or ECC bits being
read from memory (PAR0 is the most-significant parity bit and
corresponds to byte lane 0 which is selected by CAS0
or DQM0).
Timing Comments Assertion/Negation—PAR[0:7] are valid concurrent with
MDH[0:31] and MDL[0:31].
2.2.2.11 ROM Address 19:12 (AR[19:12])—Output
The ROM address 19–12 (AR[19:12]) signals are output signals only for the R OM address
function. Note that these signals are both input and output signals for the memory parity
function (PAR[0:7]). Following are the state meaning and timing comments for AR[19:12]
as output signals.
State MeaningAsserted/Negated—Represents bits 19–12 of the ROM/Flash
address. The other ROM address bits are provided by AR[10:0] as
shown in Section 6.4.1, “ROM/Flash Address Multiplexing.”
Timing Comments Assertion/Negation—The ROM address is valid on assertion of
RCS0
or RCS1.
2.2.2.12 SDRAM Clock Enable (CKE)—Output
The SDRAM clock enable (CKE) signal is an output on the MPC8240 (and is also used as
a reset configuration input signal). CKE is part of the SDRAM command encoding. See
Section 6.2, “SDRAM Interface Operation,” for more information. Following are the state
meaning and timing comments for the CKE output signal.
State MeaningAsserted—Enables the internal clock circuit of the SDRAM memory
device.
Negated—Disables the internal clock circuit of the SDRAM
memory device.
Timing Comments Assertion—CKE is valid on the rising edge of the
SDRAM_CLK[0:3] clock signals. See Section 6.2, “SDRAM
Interface Operation,” for more information.
2.2.2.13 SDRAM Row Address Strobe (SDRAS)—Output
The SDRAM row address strobe (SDRAS) signal is an output on the MPC8240. Follo wing
are the state meaning and timing comments for the SDRAS
State MeaningAsserted/Negated—SDRAS
is part of the SDRAM command
encoding and is used for SDRAM bank selection during read or write
operations. See Section 6.2, “SDRAM Interface Operation,” for
more information.
Chapter 2. Signal Descriptions and Clocking 2-21
output signal.
Detailed Signal Descriptions
Timing Comments Assertion—SDRAS is valid on the rising edge of the SDRAM clock
The SDRAM column address strobe (SDCAS) signal is an output on the MPC8240.
Following are the state meaning and timing comments for the SDCAS
State MeaningAsserted—SDCAS
is part of the SDRAM command encoding and is
output signal.
used for SDRAM column selection during read or write operations.
See Section 6.2, “SDRAM Interface Operation,” for more
information.
Negated—SDCAS
is part of SDRAM command encoding used for
SDRAM column selection during read or write operations.
Timing Comments Assertion—For SDRAM, SDCAS
SDRAM clock when a CS
n signal is asserted.
is valid on the rising edge of the
2.2.2.15 ROM Bank 0 Select (RCS0)—Output
The ROM bank0 select (RCS0) signal is an output on the MPC8240 (and a reset
configuration input signal). Following are the state meaning and timing comments for the
RCS0
output signal.
State MeaningAsserted—Selects ROM bank 0 for a read access or Flash bank 0 for
a read or write access.
Negated—Deselects bank 0, indicating no pending memory access
access cycle.
Negation—Controlled by the ROMFAL and ROMNAL parameters
of the MCCR1 register.
2-22MPC8240 Integrated Processor User’s Manual
output signal.
at the start of a ROM/Flash
Detailed Signal Descriptions
2.2.2.17 Flash Output Enable (FOE)—Output
The Flash output enable (FOE) signal is an output on the MPC8240 (and a reset
configuration input signal). Following are the state meaning and timing comments for the
FOE
output signal.
State MeaningAsserted—Enables Flash output for the current read access.
Negated—Indicates that there is currently no read access to Flash.
Note that the FOE
operation(s) to Flash.
Timing Comments Assertion—The MPC8240 asserts FOE
cycle.
Negation—Controlled by the ROMFAL and ROMNAL parameters
of the MCCR1 register.
signal provides no indication of any write
at the start of the Flash read
2.2.2.18 Address Strobe (AS)—Output
The AS output signal is used as a user-defined timing signal for the Port X interface. The
assertion and pulse width are fully programmable with the ASFALL and ASRISE
parameters in the MCCR2 register. AS
State MeaningAsserted—Programmable number of clocks (ASFALL) from the
assertion of RCS0
Negated—Programmable number of clocks (ASRISE) from the
assertion of AS.
is also a reset configuration input signal.
or RCS1.
2.2.3 EPIC Control Signals
There are five EPIC interrupt control signals that have dual functions. The signals serve as
five distinct incoming interrupt requests (IRQ[0:4]) when the EPIC unit is in discrete
interrupt mode (defined by GCR[M] = 1 and EICR[SIE] = 0). When the EPIC unit is in the
serial interrupt mode (GCR[M] = 1 and EICR[SIE] = 1) or pass-through mode (GCR[M] =
0), each signal takes on an alternate function. The protocol for the various modes of the
EPIC unit are described in Chapter 11, “Embedded Programmable Interrupt Controller
(EPIC) Unit.”
2.2.3.1 Discrete Interrupt 0:4 (IRQ[0:4])—Input
Following is the state meaning for the IRQ[0:4] signals (discrete interrupt mode). The
polarity and sense of each of these signals is programmable. All of these inputs can be
driven completely asynchronously. In pass-through mode, interrupts from external source
IRQ0 are passed directly to the processor.
Chapter 2. Signal Descriptions and Clocking 2-23
Detailed Signal Descriptions
State MeaningAsserted/Negated—When the interrupt signal is asserted (according
to the programmed polarity), the priority is checked by the EPIC
unit, and the interrupt is conditionally passed to the processor as
described in Chapter 11, “Embedded Programmable Interrupt
Controller (EPIC) Unit.”
2.2.3.2 Serial Interrupt Mode Signals
The serial interrupt mode provides for up to 16 interrupts to be serially clocked in through
the S_INT signal. The relative timing for these signals is described in Section 11.6.2,
“Serial Interrupt Timing Protocol.”
2.2.3.2.1 Serial Interrupt Stream (S_INT)—Input
This signal represents the incoming interrupt stream in serial interrupt mode.
State MeaningAsserted/Negated—Represents the interrupts for up to 16 external
interrupt sources with individually programmable sense and polarity .
These interrupts are clocked in to the MPC8240 by the S_CLK
signal.
2.2.3.2.2 Serial Interrupt Clock (S_CLK)—Output
This output serves as the serial clock that the external interrupt source must use for driving
the 16 interrupts onto the S_INT signal.
State MeaningAsserted/Negated—The frequency of this clock signal is
programmed in the serial interrupt configuration register.
2.2.3.2.3 Serial Interrupt Reset (S_RST)—Output
Following is the state meaning of the S_RST signal.
State MeaningAsserted/Negated—S_RST is asserted only once for two S_CLK
cycles when the EPIC is programmed to the serial interrupt mode.
2.2.3.2.4 Serial Interrupt Frame (S_FRAME
Following is the state meaning of the S_FRAME
State MeaningAsserted/Negated—Synchronizes the serial interrupt sampling to
interrupt source 00.
)—Output
signal.
2.2.3.3 Local Interrupt (L_INT)—Output
Following is the state meaning of the L_INT signal.
State MeaningAsserted/Negated—When the EPIC is programmed in pass-through
mode, this output reflects the raw interrupts generated by the on-chip
2
MU, I
C, and DMA controllers.
2-24MPC8240 Integrated Processor User’s Manual
Detailed Signal Descriptions
2.2.4 I2C Interface Control Signals
These two signals serve as a communication interconnect with other devices. All devices
connected to these two signals must have open-drain or open-collector outputs. The logic
AND function is performed on both of these signals with external pull-up resistors. Refer
to the MPC8240 Hardware Specification for the electrical characteristics of these signals.
Chapter 10, “I
timings of the I
2.2.4.1 Serial Data (SDA)
2
C Interface, ” has a complete description of the I2C protocol and the relative
2
C signals.
This signal is an input when the MPC8240 is in a receiving mode and an output when it is
transmitting (as an I
2
C master or a slave).
2.2.4.1.1 Serial Data (SDA)—Output
Following is the state meaning of the SD A output signal when the MPC8240 is transmitting
(as an I
2
C master or a slave).
State MeaningAsserted/Negated—Used to drive the data.
2.2.4.1.2 Serial Data (SDA)—Input
Following is the state meaning of the SDA input signal when the MPC8240 is receiving
data.
State MeaningAsserted/Negated—Used to receive data from other devices. The b us
is assumed to be busy when SDA is detected low.
2.2.4.2 Serial Clock (SCL)
This signal is an input when the MPC8240 is programmed as an I2C slave and an output
when programmed as an I
2.2.4.2.1 Serial Clock (SCL)—Output
Following is the state meaning of the SCL output signal when the MPC8240 is an I
master.
State MeaningAsserted/Negated—Driven along with SDA as the clock for the data.
2.2.4.2.2 Serial Clock (SCL)—Input
2
C master.
2
C
Following is the state meaning of the SCL output signal when the MPC8240 is an I2C slave.
2
State MeaningAsserted/Negated—The I
C unit uses this signal to synchronize
incoming data on SDA. The bus is assumed to be busy when this
signal is detected low.
2.2.5 System Control and Power Management Signals
The following sections describe the system control and power management signals of the
MPC8240.
Chapter 2. Signal Descriptions and Clocking 2-25
Detailed Signal Descriptions
2.2.5.1 Hard Reset
The two hard reset signals on the MPC8240 (HRST_CPU and HRST_CTRL) must be
asserted and negated together to guarantee normal operation. Together, HRST_CPU
HRST_CTRL
and set all registers to their default values. Although HRST_CPU
cause the MPC8240 to abort all current internal and external transactions,
and HRST_CTRL must
be asserted together, they may be asserted completely asynchronously with respect to all
other signals. See Section 13.2.1, “System Reset,” for a complete description of the reset
functionality.
and
2.2.5.1.1 Hard Reset (Processor) (HRST_CPU
The following describes the state meaning and timing for the HRST_CPU
)—Input
input signal.
State MeaningAsserted/Negated—See Section 2.1.2, “Output Signal States during
Reset,” and Section 2.4, “Configuration Signals Sampled at Reset,”
for more information on the interpretation of the other MPC8240
signals during reset.
Timing Comments Assertion/Negation—See the MPC8240Hardware Specification for
specific timing information of these signals and the reset
configuration signals.
2.2.5.1.2 Hard Reset (Peripheral Logic) (HRST_CTRL
The following describes the state meaning and timing for the HRST_CTRL
)—Input
input signal.
State MeaningAsserted/Negated—See Section 2.1.2, “Output Signal States during
Reset”, and Section 2.4, “Configuration Signals Sampled at Reset,”
for more information on the interpretation of the other MPC8240
signals during reset.
Timing Comments Assertion/Negation—See the MPC8240 Hardware Specification for
specific timing information of these signals and the reset
configuration signals.
2.2.5.2 Soft Reset (SRESET)—Input
The assertion of the soft reset input signal causes the same actions as the assertion of the
internal sr
attempting to reach a recoverable state, the processor does not encounter a machine check
condition. A soft reset exception is third in priority, following a hard reset and machine
check.
State MeaningAsserted/Negated—When SRESET
eset signal by the EPIC unit. A soft reset is recoverable, provided that in
is asserted, the processor core
attempts to reach a recoverable state by allowing the ne xt instruction
to either complete or cause an exception, blocking the completion of
subsequent instructions, and allowing the completed store queue to
drain. Unlike a hard reset, no registers or latches are initialized;
however, the instruction cache is disabled (HID0[ICE] = 0].
2-26MPC8240 Integrated Processor User’s Manual
Detailed Signal Descriptions
Timing Comments Assertion—May occur at any time, asynchronous to any clock.
Negation—Must be asserted for at least 2 sys_logic_clk cycles. After
SRESET
is negated, the processor vectors to the system reset vector .
2.2.5.3 Machine Check (MCP)—Output
The MCP signal is driven by the MPC8240 when a machine check error is generated by any
of the conditions described in Chapter 13, “Error Handling,” for generating the internal mcp
signal. The assertion of MCP depends upon whether the error handling registers of the
MPC8240 are set to report the specific error. Additionally, the programmable parameter
PICR1[MCP_EN] is used to enable or disable the assertion of MCP
all error conditions. MCP
is also used as a reset configuration input signal.
State MeaningAsserted—Reflects the state of the internal mcp
a reportable error condition, as defined in Chapter 13, “Error
Handling, ” has occurred. The current transaction may or may not be
aborted depending upon the software configuration. Assertion of
mcp
causes the processor core to conditionally take a machine check
exception or enter the checkstop state based on the setting of the
MSR[ME] bit in the processor core.
Negated—There is no mcp
Timing Comments Assertion—mcp
so the same timing applies to MCP
Negation—The MPC8240 holds mcp
may be asserted to the processor core in any cycle,
being reported to the processor core.
.
asserted until the processor
core has taken the exception. The MPC8240 decodes a machine
check acknowledge cycle by detecting processor reads from the two
possible machine check exception addresses at
0x0000_0200–0x0000_0207 and 0xFFF0_0200–0xFFF0_0207 and
then negates mcp
. This timing also applies to MCP.
High impedance—If the PMCR2[SHARED_MCP] bit is set, the
MCP
signal is placed in high impedance when there is no error to
report.
by the MPC8240 for
signal. Indicates that
2.2.5.4 Nonmaskable Interrupt (NMI)—Input
The nonmaskable interrupt (NMI) signal is an input on the MPC8240. Following are the
state meaning and timing comments for the NMI input signal. See Chapter 13, “Error
Handling,” for more information.
State MeaningAsserted—Indicates that the MPC8240 should signal a machine
check interrupt (mcp
Negated—No NMI reported.
Chapter 2. Signal Descriptions and Clocking 2-27
) to the processor core.
Detailed Signal Descriptions
Timing Comments Assertion—NMI may occur at any time, asynchronously.
Negation—Should not occur until after the interrupt is taken.
(interrupt source assumed to be cleared by software in the interrupt
handler routine).
2.2.5.5 System Management Interrupt (SMI)—Input
Following are the state meaning and timing comments for SMI.
State MeaningAsserted—The SMI
input signal is level-sensitive, and causes
exception processing for a system management interrupt when SMI
is asserted and MSR[EE] is set.
Negated—Indicates that normal operation should proceed.
Timing Comments Assertion—May occur at any time and may be asserted
asynchronously to the input clocks.
Negation—Should not occur until the interrupt is taken.
2.2.5.6 Checkstop In (CHKSTOP_IN)—Input
Following are the state meaning and timing comments for the CHKSTOP_IN signal.
State MeaningAsserted—Indicates that the MPC8240 processor core must
terminate operation by internally gating off all clocks, and releasing
all processor-related outputs to the high-impedance state.
Negated—Indicates that normal operation should proceed.
Timing Comments Assertion—May occur at any time and may be asserted
asynchronously to the input clocks.
Negation—Must remain asserted until the system has been reset with
a hard reset.
2.2.5.7 Time Base Enable (TBEN)—Input
Following are the state meaning and timing comments for TBEN.
State MeaningAsserted—Indicates that the time base and decrementer should
continue clocking. This input is essentially a count enable control for
the time base counter and the decrementer.
Negated—Indicates that the time base and decrementer should stop
clocking.
Timing Comments Assertion/Negation—May occur on any cycle.
2.2.5.8 Quiesce Acknowledge (QACK)—Output
The quiesce acknowledge (QACK) signal is an output on the MPC8240.It is also a reset
configuration input signal. See Chapter 14, “Power Management,” for more information
2-28MPC8240 Integrated Processor User’s Manual
Detailed Signal Descriptions
about the power management signals. Following are the state meaning and timing
comments for the QA
CK output signal.
State MeaningAsserted—Indicates that the processor core and peripheral logic are
in either nap or sleep mode.
Negated—Indicates that the processor core and peripheral logic are
not in nap or sleep mode.
2.2.5.9 Watchpoint Trigger Signals
There is one watchpoint trigger input and one watchpoint trigger output signal that together
provide a programmable output signal and control of the watchpoint facility. See
Chapter 16, “Programmable I/O and Watchpoint,” for more information about the
watchpoint facility.
2.2.5.9.1
The watchpoint trigger in (TRIG_IN) signal is an input on the MPC8240. Following are the
state meaning and timing comments for the TRIG_IN signal. Note that TRIG_IN is an
active-high (rising-edge triggered) signal.
State MeaningAsserted—May cause the MPC8240 to exit the HOLD state, or
Timing Comments Assertion/Negation—The MPC8240 interprets TRIG_IN as asserted
Watchpoint Trigger In (TRIG_IN)—Input
causes the value of the WP_R UN bit in the WP_CONTROL register
to toggle (turning the watchpoint facility on or off). See Chapter 16,
“Programmable I/O and Watchpoint,” for more information.
Negated—No action taken.
on detection of the rising edge of TRIG_IN. Only required to be
asserted for a single clock cycle.
2.2.5.9.2 Watchpoint Trigger Out (TRIG_OUT)—Output
The watchpoint trigger out (TRIG_OUT) signal is an output on the MPC8240. Following
are the state meaning and timing comments for the TRIG_OUT signal. Note that the active
sense of TRIG_OUT is controlled by the setting of WP_CONTROL[WP_TRIG].
State MeaningAsserted—Indicates that a final watchpoint match has occurred, as
defined in the WP_MODE field of the WP_CONTROL register.
Negated—No final watchpoint match condition.
Timing Comments Assertion/Negation—Asserted until TRIG_IN is asserted, unless the
WP_TRIG_HOLD parameter in the WP_CONTROL register is
cleared. Then TRIG_OUT is asserted for a single clock cycle.
2.2.5.10 Debug Signals
The following sections describe the debug signals used by the MPC8240 in various debug
modes. See Chapter 15, “Debug Features,” for more details and timing information on the
debug signals.
The memory attribute signals are associated with the memory interface and provide
information about the source of the memory operation being performed by the MPC8240.
They are also reset configuration input signals.
State MeaningAsserted/Negated—These signals are encoded to provide more
detailed information about a memory transaction. See
Section 15.2.1, “Memory Address Attribute Signals (MAA[0:2]),”
for a table showing these encodings.
The memory attribute signals are associated with the PCI interface and provide information
about the source of the PCI operation being performed by the MPC8240. They are also reset
configuration input signals.
State MeaningAsserted/Negated—These signals are encoded to provide more
detailed information about a PCI transaction. See Section 15.2.3,
“PCI Address Attribute Signals,” for a table showing these
encodings.
Timing Comments Assertion/Negation—Section 15.2.4, “PCI Address Attribute Signal
Timing,” contains timing diagrams showing the relative timing of
these signals and the rest of the PCI interface.
2.2.5.10.3 Debug Address (DA[0:15])—Output
When enabled, the debug address provides software disassemblers a simple way to
reconstruct the 30-bit physical address for a memory bus transaction to DRAM and
SDRAM, ROM, Flash, or PortX. Note that most of these signals are multiplex ed with other
signals (that may be inputs in their alternate function).
State MeaningAsserted/Negated—Section 15.3, “Memory Debug Address,”
describes these signals in detail, and how they are mapped to
different address bits, depending on the type of memory in use.
Timing Comments Assertion/Negation— For DRAM or SDRAM, these 16 debug
address signals are sampled with the column address and
chip-selects. For ROM, Flash, and PortX de vices, the deb ug address
pins are sampled at the same time as the ROM address and can be
used to recreate the 24-bit physical address in conjunction with ROM
address.
2-30MPC8240 Integrated Processor User’s Manual
2.2.5.10.4 Memory Interface Valid (MIV)—Output
Detailed Signal Descriptions
The MIV
signal is intended to help reduce the number of bus cycles that logic analyzers
must store in memory during a debug trace by signalling when address and data signals
should be sampled.
State MeaningAsserted—The memory interface valid signal, MIV
, is asserted
whenever FPM, EDO, SDRAM, Flash, or R OM addresses or data are
present on the external memory bus.
Timing Comments Assertion/Negation—Section 15.4.1, “MIV Signal
Timing,”describes the relative timing of MIV
in detail.
2.2.6 Test and Configuration Signals
The MPC8240 has several signals that are sampled during reset to determine the
configuration of the ROM, Flash, and dynamic memory, and the phase-locked loop clock
mode.
To facilitate system testing, the MPC8240 provides a JTAG test access port (TAP) that
complies with the IEEE 1149.1 boundary-scan specification. This section also describes the
JTAG test access port signals.
2.2.6.1 PLL Configuration (PLL_CFG[0:4])—Input
PLL_CFG[0:4] determine the clock frequency relationships of the PCI clock, the processor
core frequency, and the sys_logic_clk signal (that determines the frequency of the memory
interface clock). The multiplier factor determined by these signals on reset is stored in
HID1[PLLRATIO]. However, system software cannot read the PLLRATIO value and
associate it with a unique PLL_CFG[0:4] value. See Section 5.3.1.2.2, “Hardware
Implementation-Dependent Register 1 (HID1),” for more information on HID1.
State MeaningAsserted—See the MPC8240 Hardware Specification for the
supported settings.
Timing Comments Assertion—These signals are sampled at the negation of
HRST_CPU
signals. See Section 2.4, “Configuration Signals Sampled at Reset.”
and HRST_CTRL as part of the reset configuration
2.2.6.2 JTAG Test Clock (TCK)—Input
The JTAG test clock (TCK) signal is an input on the MPC8240. Following is the state
meaning for the TCK input signal.
State MeaningAsserted/Negated—This input should be driven by a free-running
clock signal with a 30–70% duty cycle. Input signals to the test
access port are clocked in on the rising edge of TCK. Changes to the
test access port output signals occur on the falling edge of TCK. The
test logic allows TCK to be stopped.
Note that this input contains an internal pull-up resistor to ensure that
an unterminated input appears as a high signal level to the test logic.
Chapter 2. Signal Descriptions and Clocking 2-31
Detailed Signal Descriptions
2.2.6.3 JTAG Test Data Input (TDI)—Input
Following is the state meaning for the TDI input signal.
State MeaningAsserted/Negated—The value presented on this signal on the rising
edge of TCK is clocked into the selected JTAG test instruction or
data register.
Note that this input contains an internal pull-up resistor to ensure that
an unterminated input appears as a high signal level to the test logic.
2.2.6.4 JTAG Test Data Output (TDO)—Output
Following is the state meaning for the TDO output signal.
State MeaningAsserted/Negated—The contents of the selected internal instruction
or data register are shifted out onto this signal on the falling edge of
TCK. The TDO signal remains in a high-impedance state except
when scanning of data is in progress.
2.2.6.5 JTAG Test Mode Select (TMS)—Input
The test mode select (TMS) signal is an input on the MPC8240. Following is the state
meaning for the TMS input signal.
State MeaningAsserted/Negated—This signal is decoded by the internal JTA G T AP
controller to distinguish the primary operation of the test support
circuitry.
Note that this input contains an internal pull-up resistor to ensure that
an unterminated input appears as a high signal level to the test logic.
2.2.6.6 JTAG Test Reset (TRST)—Input
The test reset (TRST) signal is an input on the MPC8240. Following is the state meaning
for the TRST
input signal.
State MeaningAsserted—This input causes asynchronous initialization of the
internal JT A G test access port controller. Note that the signal must be
asserted during power-up reset in order to initialize properly the
JTAG test access port.
Negated—Indicates normal operation.
Note that this input contains an internal pull-up resistor to ensure that
an unterminated input appears as a high signal level to the test logic.
2.2.7 Clock Signals
The MPC8240 coordinates clocking across the memory bus and the PCI bus. This section
provides a brief description of the MPC8240 clock signals. See Section 2.3, “Clocking,” for
more detailed information on the use of the MPC8240 clock signals.
2-32MPC8240 Integrated Processor User’s Manual
Loading...
+ hidden pages
You need points to download manuals.
1 point = 1 manual.
You can buy points or you can get point for every manual you upload.