Mentor FastScan and FlexTest User Manual

FastScan and FlexTest
Reference Manual
Software Version V8.6_4
Copyright Mentor Graphics Corporation 1991—1999. All rights reserved.
This document contains information that is proprietary to Mentor Graphics Corporation and may be
duplicated in whole or in part by the original recipient for internal business purposes only, provided that this
entire notice appears in all copies. In accepting this document, the recipient agrees to make every
reasonable effort to prevent the unauthorized use of this information.
The terms and conditions governing the sale and licensing of Mentor Graphics products are set forth in written agreements between Mentor Graphics and its customers. No representation or other affirmation of fact contained in this publication shall be deemed to be a warranty or give rise to any liability of Mentor Graphics whatsoever.
MENTOR GRAPHICS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS MATERIAL INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OR MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.
MENTOR GRAPHICS SHALL NOT BE LIABLE FOR ANY INCIDENTAL, INDIRECT, SPECIAL, OR CONSEQUENTIAL DAMAGES WHATSOEVER (INCLUDING BUT NOT LIMITED TO LOST PROFITS) ARISING OUT OF OR RELATED TO THIS PUBLICATION OR THE INFORMATION CONTAINED IN IT, EVEN IF MENTOR GRAPHICS CORPORATION HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES.
RESTRICTED RIGHTS LEGEND 03/97
U.S. Government Restricted Rights. The SOFTWARE and documentation have been developed entirely at private expense and are commercial computer software provided with restricted rights. Use, duplication or disclosure by the U.S. Government or a U.S. Government subcontractor is subject to the restrictions set forth in the license agreement provided with the software pursuant to DFARS 227.7202­3(a) or as set forth in subparagraph (c)(1) and (2) of the Commercial Computer Software - Restricted Rights clause at FAR 52.227-19, as applicable.
Contractor/manufacturer is:
Mentor Graphics Corporation
8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777.
A complete list of trademark names appears in a separate “Trademark Information” document.
This is an unpublished work of Mentor Graphics Corporation.

Table of Contents

TABLE OF CONTENTS
About This Manual .............................................................................................xvii
Overview.............................................................................................................xvii
Related Publications ............................................................................................xix
Mentor Graphics Documentation......................................................................xix
Acronyms Used in This Manual..........................................................................xxi
Command Line Syntax Conventions................................................................ xxiii
Chapter 1
Introduction......................................................................................................... 1-1
Features............................................................................................................... 1-1
Inputs and Outputs.............................................................................................. 1-2
Chapter 2
Command Dictionary.......................................................................................... 2-1
Command Summary........................................................................................... 2-1
Command Descriptions .................................................................................... 2-27
Abort Interrupted Process .............................................................................. 2-28
Add Ambiguous Paths.................................................................................... 2-29
Add Atpg Constraints..................................................................................... 2-31
Add Atpg Functions....................................................................................... 2-36
Add Capture Handling ................................................................................... 2-40
Add Cell Constraints...................................................................................... 2-43
Add Cell Library ............................................................................................ 2-46
Add Clocks..................................................................................................... 2-47
Add Cone Blocks ........................................................................................... 2-49
Add Control Points......................................................................................... 2-51
Add Display Instances.................................................................................... 2-53
Add Display Loop.......................................................................................... 2-57
Add Display Path ........................................................................................... 2-60
Add Display Scanpath.................................................................................... 2-63
Add Faults...................................................................................................... 2-66
Add Iddq Constraints ..................................................................................... 2-68
Add Initial States............................................................................................ 2-70
FastScan and FlexTest Reference Manual, V8.6_4
iii
Table of Contents
TABLE OF CONTENTS [continued]
Add LFSR Connections ................................................................................. 2-72
Add LFSR Taps.............................................................................................. 2-74
Add LFSRs..................................................................................................... 2-76
Add Lists........................................................................................................ 2-79
Add Mos Direction......................................................................................... 2-81
Add Net Property ........................................................................................... 2-83
Add Nofaults.................................................................................................. 2-84
Add Nonscan Handling.................................................................................. 2-87
Add Notest Points .......................................................................................... 2-89
Add Observe Points........................................................................................ 2-90
Add Output Masks ......................................................................................... 2-92
Add Pin Constraints ....................................................................................... 2-93
Add Pin Equivalences .................................................................................... 2-98
Add Pin Strobes............................................................................................ 2-101
Add Primary Inputs...................................................................................... 2-103
Add Primary Outputs ................................................................................... 2-105
Add Random Weights.................................................................................. 2-106
Add Read Controls....................................................................................... 2-108
Add Scan Chains.......................................................................................... 2-110
Add Scan Groups ......................................................................................... 2-112
Add Scan Instances ...................................................................................... 2-114
Add Scan Models......................................................................................... 2-115
Add Slow Pad............................................................................................... 2-116
Add Tied Signals.......................................................................................... 2-117
Add Write Controls...................................................................................... 2-119
Analyze Atpg Constraints ............................................................................ 2-120
Analyze Bus ................................................................................................. 2-123
Analyze Control ........................................................................................... 2-126
Analyze Control Signals .............................................................................. 2-128
Analyze Drc Violation ................................................................................. 2-131
Analyze Fault ............................................................................................... 2-137
Analyze Observe.......................................................................................... 2-143
Analyze Race ............................................................................................... 2-145
Analyze Restrictions .................................................................................... 2-147
Close Schematic Viewer .............................................................................. 2-148
iv
FastScan and FlexTest Reference Manual, V8.6_4
Table of Contents
TABLE OF CONTENTS [continued]
Compress Patterns........................................................................................ 2-149
Create Initialization Patterns........................................................................ 2-152
Create Patterns ............................................................................................. 2-154
Delete Atpg Constraints............................................................................... 2-156
Delete Atpg Functions.................................................................................. 2-158
Delete Capture Handling.............................................................................. 2-160
Delete Cell Constraints ................................................................................ 2-162
Delete Clocks ............................................................................................... 2-164
Delete Cone Blocks...................................................................................... 2-165
Delete Control Points................................................................................... 2-167
Delete Display Instances.............................................................................. 2-169
Delete Faults................................................................................................. 2-171
Delete Iddq Constraints................................................................................ 2-174
Delete Initial States ...................................................................................... 2-176
Delete LFSR Connections............................................................................ 2-177
Delete LFSR Taps........................................................................................ 2-179
Delete LFSRs............................................................................................... 2-181
Delete Lists................................................................................................... 2-183
Delete Mos Direction................................................................................... 2-184
Delete Net Property...................................................................................... 2-185
Delete Nofaults............................................................................................. 2-186
Delete Nonscan Handling ............................................................................ 2-189
Delete Notest Points..................................................................................... 2-191
Delete Observe Points.................................................................................. 2-193
Delete Output Masks.................................................................................... 2-195
Delete Paths.................................................................................................. 2-197
Delete Pin Constraints.................................................................................. 2-199
Delete Pin Equivalences............................................................................... 2-201
Delete Pin Strobes........................................................................................ 2-202
Delete Primary Inputs .................................................................................. 2-204
Delete Primary Outputs................................................................................ 2-206
Delete Random Weights .............................................................................. 2-208
Delete Read Controls ................................................................................... 2-210
Delete Scan Chains ...................................................................................... 2-211
Delete Scan Groups...................................................................................... 2-212
FastScan and FlexTest Reference Manual, V8.6_4
v
Table of Contents
TABLE OF CONTENTS [continued]
Delete Scan Instances................................................................................... 2-214
Delete Scan Models...................................................................................... 2-215
Delete Slow Pad........................................................................................... 2-216
Delete Tied Signals ...................................................................................... 2-217
Delete Write Controls .................................................................................. 2-219
Diagnose Failures......................................................................................... 2-220
Dofile............................................................................................................ 2-224
Exit............................................................................................................... 2-226
Extract Subckts............................................................................................. 2-227
Flatten Model............................................................................................... 2-228
Flatten Subckt............................................................................................... 2-229
Help.............................................................................................................. 2-230
Insert Testability........................................................................................... 2-232
Load Faults................................................................................................... 2-234
Load Paths.................................................................................................... 2-238
Macrotest...................................................................................................... 2-242
Mark............................................................................................................. 2-248
Open Schematic Viewer............................................................................... 2-250
Read Modelfile............................................................................................. 2-252
Read Procfile................................................................................................ 2-255
Read Subckts Library................................................................................... 2-256
Redo Display................................................................................................ 2-257
Report Aborted Faults.................................................................................. 2-259
Report Atpg Constraints............................................................................... 2-262
Report Atpg Functions................................................................................. 2-263
Report AU Faults ......................................................................................... 2-264
Report Bus Data........................................................................................... 2-268
Report Capture Handling ............................................................................. 2-272
Report Cell Constraints................................................................................ 2-274
Report Clocks............................................................................................... 2-276
Report Cone Blocks ..................................................................................... 2-277
Report Control Data..................................................................................... 2-278
Report Control Points................................................................................... 2-280
Report Core Memory ................................................................................... 2-281
Report Display Instances.............................................................................. 2-282
vi
FastScan and FlexTest Reference Manual, V8.6_4
Table of Contents
TABLE OF CONTENTS [continued]
Report Drc Rules.......................................................................................... 2-285
Report Environment..................................................................................... 2-293
Report Failures............................................................................................. 2-295
Report Faults................................................................................................ 2-298
Report Feedback Paths................................................................................. 2-303
Report Flatten Rules..................................................................................... 2-305
Report Gates................................................................................................. 2-309
Report Hosts................................................................................................. 2-326
Report Id Stamp ........................................................................................... 2-327
Report Iddq Constraints ............................................................................... 2-329
Report Initial States...................................................................................... 2-331
Report LFSR Connections ........................................................................... 2-333
Report LFSRs............................................................................................... 2-334
Report Lists.................................................................................................. 2-335
Report Loops................................................................................................ 2-336
Report Mos Direction................................................................................... 2-337
Report Net Properties................................................................................... 2-338
Report Nofaults............................................................................................ 2-339
Report Nonscan Cells................................................................................... 2-341
Report Nonscan Handling............................................................................ 2-345
Report Notest Points .................................................................................... 2-346
Report Observe Data.................................................................................... 2-347
Report Observe Points.................................................................................. 2-349
Report Output Masks ................................................................................... 2-350
Report Paths................................................................................................. 2-351
Report Pin Constraints ................................................................................. 2-353
Report Pin Equivalences .............................................................................. 2-355
Report Pin Strobes........................................................................................ 2-356
Report Primary Inputs.................................................................................. 2-357
Report Primary Outputs ............................................................................... 2-359
Report Procedure.......................................................................................... 2-361
Report Pulse Generators............................................................................... 2-362
Report Random Weights.............................................................................. 2-363
Report Read Controls................................................................................... 2-364
Report Scan Cells......................................................................................... 2-365
FastScan and FlexTest Reference Manual, V8.6_4
vii
Table of Contents
TABLE OF CONTENTS [continued]
Report Scan Chains...................................................................................... 2-368
Report Scan Groups ..................................................................................... 2-369
Report Scan Instances .................................................................................. 2-370
Report Scan Models..................................................................................... 2-371
Report Seq_transparent Procedures ............................................................. 2-372
Report Slow Pads......................................................................................... 2-374
Report Statistics ........................................................................................... 2-375
Report Test Stimulus.................................................................................... 2-380
Report Testability Data ................................................................................ 2-386
Report Tied Signals...................................................................................... 2-389
Report Timeplate.......................................................................................... 2-391
Report Version Data..................................................................................... 2-392
Report Write Controls.................................................................................. 2-393
Reset Au Faults............................................................................................ 2-394
Reset State.................................................................................................... 2-396
Resume Interrupted Process......................................................................... 2-397
Run............................................................................................................... 2-399
Save Flattened Model................................................................................... 2-403
Save Patterns................................................................................................ 2-405
Save Schematic ............................................................................................ 2-416
Select Iddq Patterns...................................................................................... 2-417
Select Object ................................................................................................ 2-422
Set Abort Limit ............................................................................................ 2-424
Set Atpg Compression.................................................................................. 2-427
Set Atpg Limits............................................................................................ 2-430
Set Atpg Window......................................................................................... 2-433
Set AU Analysis........................................................................................... 2-434
Set Bist Initialization.................................................................................... 2-436
Set Bus Handling.......................................................................................... 2-438
Set Bus Simulation....................................................................................... 2-440
Set Capture Clock ........................................................................................ 2-441
Set Capture Handling................................................................................... 2-444
Set Capture Limit......................................................................................... 2-447
Set Checkpoint............................................................................................. 2-449
Set Clock Restriction.................................................................................... 2-451
viii
FastScan and FlexTest Reference Manual, V8.6_4
Table of Contents
TABLE OF CONTENTS [continued]
Set Clock_off Simulation............................................................................. 2-454
Set Clockpo Patterns.................................................................................... 2-455
Set Contention Check................................................................................... 2-456
Set Control Threshold.................................................................................. 2-461
Set Decision Order....................................................................................... 2-462
Set Dofile Abort........................................................................................... 2-464
Set Drc Handling.......................................................................................... 2-465
Set Driver Restriction................................................................................... 2-475
Set Fails Report............................................................................................ 2-477
Set Fault Mode............................................................................................. 2-478
Set Fault Sampling....................................................................................... 2-480
Set Fault Type.............................................................................................. 2-482
Set Flatten Handling..................................................................................... 2-484
Set Gate Level.............................................................................................. 2-489
Set Gate Report ............................................................................................ 2-491
Set Hypertrophic Limit ................................................................................ 2-500
Set Iddq Checks............................................................................................ 2-502
Set Iddq Strobe............................................................................................. 2-506
Set Instancename Visibility.......................................................................... 2-508
Set Instruction Atpg ..................................................................................... 2-511
Set Internal Fault.......................................................................................... 2-513
Set Internal Name......................................................................................... 2-514
Set Interrupt Handling.................................................................................. 2-515
Set IO Mask.................................................................................................. 2-517
Set Learn Report .......................................................................................... 2-518
Set List File.................................................................................................. 2-520
Set Logfile Handling.................................................................................... 2-522
Set Loop Handling ....................................................................................... 2-524
Set Multiple Load......................................................................................... 2-527
Set Net Dominance ...................................................................................... 2-529
Set Net Resolution........................................................................................ 2-531
Set Nonscan Model ...................................................................................... 2-533
Set Number Shifts........................................................................................ 2-536
Set Observation Point................................................................................... 2-537
Set Observe Threshold................................................................................. 2-539
FastScan and FlexTest Reference Manual, V8.6_4
ix
Table of Contents
TABLE OF CONTENTS [continued]
Set Output Comparison................................................................................ 2-541
Set Output Mask........................................................................................... 2-543
Set Pathdelay Holdpi.................................................................................... 2-545
Set Pattern Source ........................................................................................ 2-546
Set Possible Credit ....................................................................................... 2-550
Set Procedure Cycle_checking..................................................................... 2-551
Set Pulse Generators .................................................................................... 2-552
Set Race Data............................................................................................... 2-553
Set Rail Strength .......................................................................................... 2-554
Set Ram Initialization................................................................................... 2-555
Set Ram Test................................................................................................ 2-557
Set Random Atpg......................................................................................... 2-559
Set Random Clocks...................................................................................... 2-560
Set Random Patterns.................................................................................... 2-562
Set Random Weights.................................................................................... 2-563
Set Redundancy Identification..................................................................... 2-565
Set Schematic Display.................................................................................. 2-566
Set Screen Display ....................................................................................... 2-569
Set Self Initialization.................................................................................... 2-570
Set Sensitization Checking........................................................................... 2-572
Set Sequential Learning ............................................................................... 2-573
Set Shadow Check........................................................................................ 2-575
Set Simulation Mode.................................................................................... 2-576
Set Skewed Load.......................................................................................... 2-581
Set Split Capture_cycle................................................................................ 2-583
Set Stability Check....................................................................................... 2-584
Set Static Learning....................................................................................... 2-586
Set Stg Extraction......................................................................................... 2-588
Set System Mode.......................................................................................... 2-589
Set Test Cycle .............................................................................................. 2-592
Set Trace Report........................................................................................... 2-593
Set Transition Holdpi................................................................................... 2-594
Set Unused Net............................................................................................. 2-595
Set Workspace Size...................................................................................... 2-597
Set Xclock Handling .................................................................................... 2-598
x
FastScan and FlexTest Reference Manual, V8.6_4
Table of Contents
TABLE OF CONTENTS [continued]
Set Z Handling ............................................................................................. 2-599
Set Zhold Behavior ...................................................................................... 2-601
Set Zoom Factor........................................................................................... 2-603
Setup Checkpoint......................................................................................... 2-604
Setup LFSRs................................................................................................. 2-607
Setup Pin Constraints................................................................................... 2-609
Setup Pin Strobes ......................................................................................... 2-612
Setup Tied Signals........................................................................................ 2-613
Step............................................................................................................... 2-615
System.......................................................................................................... 2-616
Undo Display ............................................................................................... 2-617
Unmark......................................................................................................... 2-619
Unselect Object............................................................................................ 2-621
Update Implication Detections..................................................................... 2-623
View............................................................................................................. 2-625
View Area .................................................................................................... 2-627
Write Core Memory..................................................................................... 2-629
Write Environment....................................................................................... 2-631
Write Failures............................................................................................... 2-634
Write Faults.................................................................................................. 2-638
Write Initial States........................................................................................ 2-642
Write Library_verification Setup................................................................. 2-644
Write Loops.................................................................................................. 2-646
Write Modelfile............................................................................................ 2-647
Write Netlist................................................................................................. 2-649
Write Paths................................................................................................... 2-651
Write Primary Inputs.................................................................................... 2-653
Write Primary Outputs................................................................................. 2-655
Write Procfile............................................................................................... 2-657
Write Statistics............................................................................................. 2-658
Write Timeplate ........................................................................................... 2-661
Zoom In........................................................................................................ 2-663
Zoom Out..................................................................................................... 2-664
FastScan and FlexTest Reference Manual, V8.6_4
xi
Table of Contents
TABLE OF CONTENTS [continued]
Chapter 3
Shell Commands.................................................................................................. 3-1
Shell Command Descriptions ............................................................................. 3-1
fastscan............................................................................................................. 3-2
flextest.............................................................................................................. 3-7
Chapter 4
Test Pattern File Formats ................................................................................... 4-1
FastScan Test Pattern File Format...................................................................... 4-1
Header_Data..................................................................................................... 4-1
Setup_Data....................................................................................................... 4-2
Functional_Chain_Test.................................................................................... 4-5
Scan_Test......................................................................................................... 4-8
Scan_Cell ....................................................................................................... 4-11
FlexTest Test Pattern File Format .................................................................... 4-12
ASCII Pattern Format .................................................................................... 4-12
Table Pattern Format...................................................................................... 4-20
VCD Support Using VCD Plus...................................................................... 4-27
Chapter 5
Distributed FlexTest............................................................................................ 5-1
Environment Setup........................................................................................... 5-4
Host File Setup................................................................................................. 5-4
Appendix A
Timing Command Dictionary............................................................................A-1
Timing Command Summary ..............................................................................A-1
FastScan Timing Commands..............................................................................A-3
SET END_MEASURE_CYCLE TIME ..........................................................A-4
SET PROCEDURE FILE ................................................................................A-8
SET SINGLE_CYCLE TIME........................................................................A-10
SET SPLIT_BIDI_CYCLE TIME.................................................................A-12
SET SPLIT_MEASURE_CYCLE TIME......................................................A-15
xii
FastScan and FlexTest Reference Manual, V8.6_4
Table of Contents
TABLE OF CONTENTS [continued]
SET STROBE_WINDOW TIME..................................................................A-20
SET TIME SCALE........................................................................................A-22
TIMEPLATE..................................................................................................A-23
FlexTest Timing Commands ............................................................................A-32
SET BIDI_FORCE TIME..............................................................................A-33
SET CYCLE...................................................................................................A-35
SET END_MEASURE_CYCLE TIME ........................................................A-38
SET FIRST_FORCE TIME...........................................................................A-41
SET FORCE TIME........................................................................................A-42
SET MEASURE TIME..................................................................................A-45
SET PROCEDURE FILE ..............................................................................A-47
SET SINGLE_CYCLE TIME........................................................................A-49
SET SKEW_FORCE TIME...........................................................................A-52
SET SPLIT_BIDI_CYCLE TIME.................................................................A-54
SET SPLIT_MEASURE_CYCLE TIME......................................................A-57
SET STROBE_WINDOW TIME..................................................................A-60
SET TIME SCALE........................................................................................A-62
Appendix B
FlexTest WDB Translation Support ..................................................................B-1
Invoking wdb2flex..............................................................................................B-1
Control File.........................................................................................................B-2
Example..............................................................................................................B-4
Using wdb2flex Effectively................................................................................B-6
Index
FastScan and FlexTest Reference Manual, V8.6_4
xiii
Table of Contents

LIST OF FIGURES

Figure 1. DFT Documentation Roadmap ...........................................................xix
Figure 2-1. MISR placement ........................................................................... 2-72
Figure 5-1. Master and Slave Workstations ...................................................... 5-2
Figure 5-2. FlexTest Invocation Arguments Dialog Box.................................. 5-5
Figure A-1. Scan Event Timing for SET END_MEASURE_CYCLE TIME...A-4
Figure A-2. Scan Event Timing for SET SPLIT_MEASURE_CYCLE TIME ......
A-15 Figure A-3. SET SPLIT_MEASURE_CYCLE TIME Non-scan Event Timing
Diagram ............................................................................................................A-19
Figure A-4. SET STROBE_WINDOW Timing Diagram...............................A-21
Figure A-5. Template Timing for Example 1..................................................A-28
Figure A-6. SET BIDI_FORCE Timing Example ..........................................A-34
Figure A-7. SET CYCLE Timing Example ....................................................A-36
Figure A-8. SET FORCE Timing Example.....................................................A-43
Figure A-9. SET MEASURE Timing Example ..............................................A-46
Figure A-10. SET SKEW_FORCE Timing Example .....................................A-53
Figure A-11. SET STROBE_WINDOW Timing Diagram.............................A-61
Figure B-1. Example WDB2FLEX Circuit Timing Example ...........................B-4
Figure B-2. Detailed Pin Timing .......................................................................B-9
xiv
FastScan and FlexTest Reference Manual, V8.6_4
Table of Contents

LIST OF TABLES

Table 2-1. Command Summary ......................................................................... 2-1
Table 2-2. Fault Class Codes and Names ...................................................... 2-299
Table 2-3. Reportable Gate Types ................................................................ 2-321
Table 2-4. FlexTest Learned Gate Types ...................................................... 2-323
Table 2-5. FastScan Clock Port Categories ................................................... 2-324
Table 2-6. WIRE Bus Contention Truth Table .............................................. 2-529
Table 2-7. AND Bus Contention Truth Table ............................................... 2-529
Table 2-8. OR Bus Contention Truth Table .................................................. 2-530
Table 2-9. DRC Non-scan Cell Classifications ............................................. 2-534
Table A-1. Timing Command Summary ...........................................................A-2
FastScan and FlexTest Reference Manual, V8.6_4
xv
LIST OF TABLES [continued]
Table of Contents
xvi
FastScan and FlexTest Reference Manual, V8.6_4
About This Manual Overview

About This Manual

Overview

FastScan and FlexTest are Mentor Graphics ATPG tools which are an integral part of the Mentor Graphics Design-For-Test solution.
FastScan is a comprehensive combinational Automatic Test Pattern Generation (ATPG) system optimized for full scan designs. It offers the highest speed and accurately measured high test coverage to guarantee your product quality and reliability.
FlexTest is a high performance sequential Automatic Test Pattern Generation (ATPG) system that allows you to create a set of test patterns that achieves a high, accurately measured test coverage for your cycle-based circuits.
Optionally available with FastScan and FlexTest is Mentor Graphics DFTInsight which can translate a specified portion of a netlist-based design to schematic form. DFTInsight adds the ability to graphically investigate and interact with designs, thus facilitating testability debugging efforts.
This manual contains information on each of the FastScan, FlexTest, and DFTInsight application commands. Additionally, the manual contains reference information specific to each of these applications. For procedural information on how to use FastScan, FlexTest, or DFTInsight in the ASIC/IC design environment, refer to the Scan and ATPG Process Guide.
This manual is divided into the sections and appendices that follow:
Chapter 1 — Introduction - briefly describes the inputs, outputs, and
features of FastScan, FlexTest, and DFTInsight.
Chapter 2 — Command Dictionary - lists the detailed information for
each command.
FastScan and FlexTest Reference Manual, V8.6_4
xvii
Overview About This Manual
Chapter 3 — Shell Commands - lists the detailed information on the
FastScan, FlexTest, and DFTInsight invocation commands.
Chapter 4 —Test Pattern File Formats - describes the test pattern file
format.
Chapter 5 —Distributed FlexTest - describes how to divide ATPG
processes into smaller sets and run these sets simultaneously on multiple workstations.
Appendix A —Timing Command Dictionary - describes how to create a
timing file and apply it to the test pattern set.
Appendix B —FlexTest WDB Translation Support - describes
FlexTest’s usage of the “wdb2flex” utility to translate Waveform Databases to FlexTest Table Format Patterns.
The DFT applications use Adobe Acrobat Exchange as their online documentation and help viewer. Online help requires installing the Mentor Graphics-supplied Acrobat Exchange program with Mentor Graphics-specific plugins and also requires setting an environment variable. For more information, refer to the section, “Setting Up Online Manuals and Help” in Using Mentor Graphics Documentation with Acrobat Exchange.
xviii
FastScan and FlexTest Reference Manual, V8.6_4
About This Manual Related Publications

Related Publications

This section gives references to both Mentor Graphics product documentation and industry DFT documentation.

Mentor Graphics Documentation

Figure 1 shows the Mentor Graphics DFT manuals and their relationship to each
other and is followed by a list of descriptions for these documents.
Design-for-Test
Common Resources
Manual
DFTAdvisor
Reference Manual
Scan and ATPG
Process Guide
Boundary Scan
Process Guide
Release Notes
Design-for-Test
Built-in Self-Test
Process Guide
FastScan & FlexTest
Reference Manual
BSDArchitect
Reference Manual
LBISTArchitect
Reference Manual
Figure 1. DFT Documentation Roadmap
Boundary Scan Process Guide — provides process, concept, and procedure
information for the boundary scan product, BSDArchitect. It also includes information on how to integrate boundary scan with the other DFT technologies.
BSDArchitect Reference Manual — provides reference information for
BSDArchitect, the boundary scan product.
FastScan and FlexTest Reference Manual, V8.6_4
MBISTArchitect
Reference Manual
xix
Related Publications About This Manual
Built-in Self-Test Process Guide — provides process, concept, and
procedure information for using MBISTArchitect, LBISTArchitect, and other Mentor Graphics tools in the context of your BIST design process.
Design-for-Test Common Resources Manual — contains information
common to many of the DFT tools: design rule checks (DRC), DFTInsight (the schematic viewer), library creation, VHDL support, Verilog support, Spice support, and test procedure file format.
Design-for-Test Release Notes — provides release information that reflects
changes to the DFT products for the software version release.
DFTAdvisor Reference Manual — provides reference information for
DFTAdvisor (internal scan insertion) and DFTInsight (schematic viewer) products.
FastScan and FlexTest Reference Manual — provides reference
information for FastScan (full-scan ATPG), FlexTest (non- to partial-scan ATPG), and DFTInsight (schematic viewer) products.
LBISTArchitect Reference Manual — provides reference information for
LBISTArchitect, the logic built-in self-test product.
MBISTArchitect Reference Manual — provides reference information for
MBISTArchitect, the memory built-in self-test product.
Scan and ATPG Process Guide — provides process, concept, and
procedure information for using DFTAdvisor, FastScan, and FlexTest in the context of your DFT design process.
Using Mentor Graphics Documentation with Acrobat Exchange
describes how to set up and use the Mentor Graphics-supplied Acrobat Exchange with enhancement plugins for online viewing of Mentor Graphics PDF-based documentation and help. The manual contains procedures for using Mentor Graphics documentation, including setting up online manuals and help, opening documents, and using full-text searches. Also included are tips on using Exchange.
xx
FastScan and FlexTest Reference Manual, V8.6_4
About This Manual Acronyms Used in This Manual

Acronyms Used in This Manual

Below is an alphabetical listing of the acronyms used in this manual: ASIC - Application Specific IC ATE - Automatic Test Equipment ATPG - Automatic Test Pattern Generation AU - ATPG_Untestable fault AVI - ASIC Vector Interfaces BIST - Built-In Self Test BSDA - Boundary Scan Design Architect BSDL - Boundary Scan Design Language CUT - Circuit Under Test DFT - Design For Test DFTA - DFTAdvisor DFTI - DFTInsight DRC - Design Rules Check DUT - Device Under Test EDDM - Electronic Design Data Model EDIF - Electronic Design Interchange Format FS - FastScan FT - FlexTest
FastScan and FlexTest Reference Manual, V8.6_4
xxi
Acronyms Used in This Manual About This Manual
GENIE - General Interpreted Environment IDDQ - Quiescent Drain Current I/O - Input/Output JTAG - Joint Test Action Group LFSR - Linear Feedback Shift Register LSSD - Level Sensitive Scan Design MCM - Multi-Chip Module MISR - Multiple Input Signature Register PGS - Pulse Generator Sink PI - Primary Input PRPG - Pseudo-Random Pattern Generator PO - Primary Output PU - Posdet_Untestable fault SFP - Single Fault Propagation TDL - TEGAS Design Language UI - User Interface VHDL - VHSIC Hardware Description Language VHSIC - Very High Speed IC WDB - Waveform DataBase
xxii
FastScan and FlexTest Reference Manual, V8.6_4
About This Manual Command Line Syntax Conventions

Command Line Syntax Conventions

Each point-tool manual will include the following notation conventions section in the ATM chapter. For more information on Mentor Graphics documentation conventions, see the “Mentor Graphics Learning Products Style Guide
The notational elements for command line syntax are as follows:
Bold A bold font indicates a required argument. [ ] Square brackets enclose optional arguments (in command line
syntax only). Do not enter the brackets.
UPPercase Required command letters are in uppercase; in most cases, you
may omit lowercase letters when entering commands or literal arguments and you need not use uppercase. Command names and options are normally case insensitive, but for some tools the initial command name is case sensitive and must be lowercase. Commands usually follow the 3-2-1 rule: the first three letters of the first word, the first two letters of the second word, and the first letter of the third, fourth, etc. words.
Italic An italic font indicates a user-supplied argument.
An underlined item indicates either the default argument or the default value of an argument.
{ } Braces enclose arguments to show grouping. Do not enter the
braces.
| The vertical bar indicates an either/or choice between items. Do
not include the bar in the command.
An ellipsis follows an argument that may appear more than once.
Do not include the ellipsis in commands.
You should enter literal text (that which is not in italics) exactly as shown.
FastScan and FlexTest Reference Manual, V8.6_4
xxiii
Command Line Syntax Conventions About This Manual
xxiv
FastScan and FlexTest Reference Manual, V8.6_4
Chapter 1
Introduction
FastScan and FlexTest are Mentor Graphics high-performance Automatic Test Pattern Generation (ATPG) tools. FastScan performs full-scan and scan­sequential ATPG, while FlexTest performs sequential ATPG. These are two of several tools in the Mentor Graphics Design-for-Test (DFT) tool suite. The following subsections list the features and inputs/outputs of the tools. For information on using FastScan or FlexTest in the context of a DFT flow, refer to the “Generating Test Patterns” chapter in the Scan and ATPG Process Guide.

Features

FastScan and FlexTest share numerous features, including the following:
You can use them within a Mentor Graphics flow or as a point tool within
other design flows.
Contain an internal high-speed fault simulator.
Read most standard gate-level netlists.
Produce a number of standard test pattern data formats.
Contain a powerful design rules checker.
FastScan-specific features include the following:
Produces very high coverage test pattern sets for full-scan and scan-
sequential designs. Scan-sequential designs contain well-behaved sequential scan circuitry, including non-scan latches, sequential memories, and limited sequential depth.
FastScan and FlexTest Reference Manual, V8.6_4
1-1
Inputs and Outputs Introduction
Contains functionality for handling embedded RAM and ROM.
Contains functionality for simulating and generating test pattern sets for
BIST circuitry.
FlexTest-specific features include the following:
Supports a wide range of DFT structures.
Can display a wide variety of useful information—from design and
debugging information to statistical reports for the generated test set.

Inputs and Outputs

FastScan and FlexTest utilize the following inputs:
Design - The supported netlist formats are EDDM, EDIF, GENIE, Verilog,
VHDL, SPICE and TDL.
Test Procedure File - This file defines the operation of the scan circuitry in
your design. You can generate the file by hand or using the Write ATPG Setup command in DFTAdvisor. For more information on test procedure files, refer to “Test Procedure Files” in the Scan and ATPG Process Guide.
Library - This file contains model descriptions for all library cells used in
your design.
Fault List - This is an external fault list that you can use as a source of faults
for the internal fault list of FlexTest.
Test Patterns - This is a set of externally-generated test patterns that you can
use as the pattern source for simulation.
1-2
FastScan and FlexTest Reference Manual, V8.6_4
Introduction Inputs and Outputs
FastScan and FlexTest produce the following outputs:
Test Patterns - This file set contains test patterns in one or more of the
supported simulator or ASIC vendor pattern formats. For more information on the available test pattern formats, refer to the Save Patterns command reference page within this manual, or the “Saving the Patterns” section in the Scan and ATPG Process Guide.
ATPG Information Files - These files contain session information that you
can save using various FlexTest commands.
Fault List - This is an ASCII file containing internal fault information in the
standard Mentor Graphics fault format.
FastScan and FlexTest Reference Manual, V8.6_4
1-3
Inputs and Outputs Introduction
1-4
FastScan and FlexTest Reference Manual, V8.6_4
Chapter 2
Command Dictionary
This chapter contains descriptions of the FastScan, FlexTest, and DFTInsight commands. The subsections are named for the command they describe. For quick reference, the commands appear alphabetically with each beginning on a separate page.

Command Summary

Table 2-1 contains a summary of the commands described in this manual. The
three columns that separate the command name and the description indicate the tools in which you can use the commands. The following tool acronyms are used in the table:
DFTI DFTInsight FS FastScan FT FlexTest
Table 2-1. Command Summary
D F TIFSF
Command
Abort Interrupted Process
Add Ambiguous Paths
Add Atpg Constraints
T
••
Aborts a command placed in suspended state by a Control-C interrupt while the Set Interrupt Handling command is on.
Specifies for FastScan to select multiple paths when there is path ambiguity.
Specifies that the tool restrict all patterns it places into the internal pattern set according to the user-defined constraints.
Description
FastScan and FlexTest Reference Manual, V8.6_4
2-1
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Add Atpg Functions
Add Capture Handling
Add Cell Constraints Add Cell Library
Add Clocks Add Cone Blocks
Add Control Points Add Display Instances
••
••
••
••
••
•••
Creates an ATPG function that you can then use when generating user-defined ATPG constraints.
Specifies the data capturing behavior for the given state element.
Constrains scan cells to be at a constant value. Specifies the EDIF library in which to place
all or specified library models. Adds clock primary inputs to the clock list.
Specifies the blockage points that you want the tool to use during the calculation of the clock and effect cones.
Adds control points to output pins. Adds the specified instances to the netlist for
display.
Add Display Loop
Add Display Path
Add Display Scanpath
Add Faults Add Iddq Constraints
2-2
•••
•••
•••
••
••
Displays all the gates in a specified feedback path.
Displays all the gates associated with the specified path.
Displays all the associated gates between two positions in a scan chain.
Adds faults into the current fault list. Sets constraints for generation or selection of
IDDQ patterns.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Add Initial States
Add LFSR Connections
Add LFSR Taps
Add LFSRs
Add Lists
Add Mos Direction
Add Net Property
••
••
••
Specifies an initial state for the selected sequential instance.
Connects an external pin to a Linear Feedback Shift Register (LFSR).
Adds the tap configuration to a Linear Feedback Shift Register (LFSR).
Adds Linear Feedback Shift Registers (LFSRs) for use as Pseudo-Random Pattern Generators (PRPGs) or Multiple Input Signature Registers (MISRs).
Adds pins to the list of pins on which to report.
Assigns the direction of a bi-directional MOS transistor.
Defines the net in the Spice design and library as VDD or GND.
Add Nofaults
Add Nonscan Handling
Add Notest Points
Add Observe Points
FastScan and FlexTest Reference Manual, V8.6_4
••
Places nofault settings either on pin pathnames, pin names of specified instances, or modules.
Overrides behavior classification of non-scan
elements that FlexTest learns during the design rules checking process.
Adds circuit points to list for exclusion from testability insertion.
Adds observe points to output pins.
2-3
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Add Output Masks
Add Pin Constraints
Add Pin Equivalences
Add Pin Strobes Add Primary Inputs Add Primary Outputs Add Random Weights
Add Read Controls Add Scan Chains Add Scan Groups
••
••
••
••
••
••
••
••
Ignores any fault effects that propagate to the primary output pins you name.
Adds pin constraints to primary inputs and input channel to I/O pins.
Adds restrictions to primary inputs such that they have equal or inverted values.
Adds strobe time to the primary outputs. Adds primary inputs. Adds primary outputs. Specifies the random pattern weighting
factors for primary inputs. Adds an off-state value to read control lines.
Adds a scan chain to a scan group. Adds a scan chain group to the system.
Add Scan Instances
Add Scan Models Add Slow Pad Add Tied Signals Add Write Controls
2-4
••
••
Adds sequential instances to the scan instance list.
Adds sequential models to the scan model list. Sets the specified I/O pin as a slow pad. Adds a value to floating signals or pins. Adds an off-state value to specified write
control lines.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Analyze Atpg Constraints
Analyze Bus
Analyze Control Analyze Control
Signals Analyze Drc Violation
Analyze Fault
Analyze Observe
••
•••
••
Specifies for FastScan or FlexTest to check the ATPG constraints you’ve created for their satisfiability or for their mutual exclusivity.
Causes the tool to analyze the specified bus gates for contention problems.
Calculates zero and one-state controllability. Identifies the primary inputs of control
signals. Generates a netlist of the portion of the design
involved with the specified rule violation number.
Performs an analysis to identify why a fault is not detected and optionally displays the relevant circuitry in DFTInsight.
Calculates observability coverage.
Analyze Race
Analyze Restrictions
Close Schematic Viewer
Compress Patterns
Create Initialization Patterns
FastScan and FlexTest Reference Manual, V8.6_4
Performs an analysis to automatically
•••
••
Checks for race conditions between the clock
and data signals.
determine the source of the problems from a failed ATPG run.
Terminates the optional schematic viewing application (DFTInsight).
Compresses patterns in the current test pattern set.
Creates RAM initialization patterns and places them in the internal pattern set.
2-5
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Create Patterns Delete Atpg Constraints
Delete Atpg Functions Delete Capture
Handling Delete Cell Constraints
Delete Clocks
Delete Cone Blocks
Delete Control Points Delete Display
Instances
••
••
••
••
••
•••
Automates good ATPG compression flow. Removes the state restrictions from the
specified objects. Removes the specified function definitions.
Removes the special data capture handling for the specified objects.
Removes constraints placed on scan cells. Removes primary input pins from the clock
list. Removes the specified output pin names from
the user-created list which the tool uses to calculate the clock and effect cones.
Removes previously specified control points. Removes the specified objects from the
display in DFTInsight.
Delete Faults Delete Iddq Constraints
Delete Initial States
Delete LFSR Connections
Delete LFSR Taps
2-6
••
••
Removes faults from the current fault list. Removes the IDDQ restrictions from the
specified pins. Removes the initial state settings for the
specified instance names. Removes connections between the specified
primary pins and Linear Feedback Shift Registers (LFSRs).
Removes the tap positions from a Linear Feedback Shift Register (LFSR).
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Delete LFSRs
Delete Lists
Delete Mos Direction
Delete Net Property
Delete Nofaults
Delete Nonscan Handling
Delete Notest Points
••
••
••
••
Removes the specified Linear Feedback Shift Registers (LFSRs).
Removes the specified pins from the pin list that the tool monitors while in the Fault or Good simulation system mode.
Resets the VDD or GND net in the Spice design and library.
Resets the VDD or GND net in the Spice design and library.
Removes the nofault settings from either the specified pin or instance/module pathnames.
Removes the overriding learned behavior classification for the specified non-scan elements.
Removes the circuit points which the tool cannot use for testability insertion from the specified pins.
Delete Observe Points
Delete Output Masks
Delete Paths
Delete Pin Constraints
FastScan and FlexTest Reference Manual, V8.6_4
••
Removes observe points from the specified pins.
Removes the masking of the specified
primary output pins. Removes the specified path delay faults from
the current fault list. Removes the pin constraints from the
specified primary input pins.
2-7
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Delete Pin Equivalences
Delete Pin Strobes
Delete Primary Inputs
Delete Primary Outputs
Delete Random Weights
Delete Read Controls
Delete Scan Chains
Delete Scan Groups
••
••
••
••
••
••
Removes the pin equivalence specifications for the designated primary input pins.
Removes the strobe time from the specified primary output pins.
Removes the specified primary inputs from the current netlist.
Removes the specified primary outputs from the current netlist.
Removes the random pattern weighting factors for the specified primary input pins.
Removes the read control line definitions from the specified primary input pins.
Removes the specified scan chain definitions from the scan chain list.
Removes the specified scan chain group definitions from the scan chain group list.
Delete Scan Instances
Delete Scan Models
Delete Slow Pad
Delete Tied Signals
Delete Write Controls
2-8
••
••
Removes from the scan instance list the specified sequential instances.
Removes the specified sequential models from the scan model list.
Resets the specified I/O pin back to the default simulation mode.
Removes the assigned (tied) value from the specified floating nets or pins.
Removes the write control line definitions from the specified primary input pins.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Diagnose Failures
Dofile
Exit Extract Subckts
Flatten Model
Flatten Subckt Help
Insert Testability
••
••
••
••
••
••
Diagnoses the failing patterns that the specified file identifies.
Executes the commands contained within the specified file.
Terminates the application tool program. Performs matching and conversion between
the bi-directional MOS instance and the ATPG library model.
Creates a primitive gate simulation representation of the design.
Flattens the SUBCKT in the Spice design. Displays the usage syntax and system mode
for the specified command. Performs testability analysis to achieve
maximum test coverage.
Load Faults
Load Paths
Macrotest
Mark
FastScan and FlexTest Reference Manual, V8.6_4
••
•••
Updates the current fault population to include or exclude the faults contained in the specified fault file.
Reads into FastScan the path definitions contained in the specified ASCII file.
Automates the testing of embedded RAMs or ROMs, embedded hierarchical instances, and embedded blocks of logic with unidirectional I/O.
Highlights the objects that you specify in the Schematic View window.
2-9
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Open Schematic Viewer
Read Modelfile
Read Procfile
Read Subckts Library Redo Display
Report Aborted Faults
Report Atpg Constraints
••
••
••
••
•••
••
••
Invokes the optional schematic viewing application, DFTInsight.
Initializes the specified RAM or ROM gate using the memory states contained in the named modelfile.
Reads the specified new enhanced procedure file.
Reads the specified Spice SUBCKT library. Nullifies the schematic view effects of an
Undo command. Displays information on undetected faults
caused when the tool aborted the simulation during the ATPG process.
Displays all the current ATPG state restrictions and the pins on which they reside.
Report Atpg Functions
Report AU Faults
Report Bus Data
Report Capture Handling
Report Cell Constraints
2-10
••
••
••
Displays all the current ATPG function definitions.
Displays information on ATPG untestable faults.
Displays the bus data information for either an individual bus gate or for the buses of a specific type.
Displays any special data capture handling currently in use.
Displays a list of all the constrained scan cells.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Report Clocks
Report Cone Blocks
Report Control Data
Report Control Points Report Core Memory
Report Display Instances
Report Drc Rules
••
••
•••
••
Displays a list of all the primary input pins currently in the clock list.
Displays the current user-defined output pin pathnames that the tool uses to calculate the clock and effect cones.
Displays information from the last Analyze Control command.
Displays the list of control points. Displays the amount of memory FlexTest
requires to avoid paging during the ATPG and simulation processes.
Displays a textual report of the netlist information for either the specified gates or instances or for all the gates in the current schematic view display.
Displays either a summary of all the Design Rule Check (DRC) violations or the data for a specific violation.
Report Environment
Report Failures Report Faults
Report Feedback Paths
FastScan and FlexTest Reference Manual, V8.6_4
••
••
•••
Displays the current values of all the “set” commands.
Displays the failing pattern results. Displays fault information from the current
fault list. Displays a textual report of the currently
identified feedback paths.
2-11
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Report Flatten Rules
Report Gates
Report Hosts
Report Id Stamp
Report Iddq Constraints
Report Initial States
Report LFSR Connections
•••
••
••
Displays either a summary of all the flattening rule violations or the data for a specific violation.
Displays the netlist information for the specified gates.
Displays information on the hosts available for distributed processing.
Displays the unique identifier that FastScan assigns each internal pattern set.
Displays the current IDDQ constraints for the specified pins.
Displays the initial state settings of the specified design instances.
Displays a list of all the connections between Linear Feedback Shift Registers (LFSRs) and primary pins.
Report LFSRs
Report Lists
Report Loops Report Mos Direction
Report Net Properties
2-12
••
••
••
••
Displays a list of definitions for all the current Linear Feedback Shift Registers (LFSRs).
Displays a list of pins which the tool reports on while in the Fault or Good simulation system mode.
Displays a list of all the current loops. Reports the direction MOS instances in the
Spice design and Spice SUBCKT library. Reports the VDD or GND net properties in
the Spice design and library.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Report Nofaults
Report Nonscan Cells
Report Nonscan Handling
Report Notest Points
Report Observe Data
Report Observe Points
Report Output Masks
••
••
Displays the nofault settings for the specified pin pathnames or pin names of instances.
Displays the non-scan cells whose model type you specify.
Displays the overriding learned behavior
classification for the specified non-scan elements.
Displays all the circuit points for which you do not want FastScan to insert controllability and observability.
Displays information from the preceding Analyze Observe command.
Displays a list of all the current observe points.
••Displays a list of the currently masked
primary output pins.
Report Paths
Report Pin Constraints
Report Pin Equivalences
Report Pin Strobes
Report Primary Inputs
FastScan and FlexTest Reference Manual, V8.6_4
••
••
••
Displays the path definitions of the specified loaded paths.
Displays the pin constraints of the primary inputs.
Displays the pin equivalences of the primary inputs.
Displays the current pin strobe timing for the
specified primary output pins. Displays the specified primary inputs.
2-13
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Report Primary Outputs Report Procedure Report Pulse
Generators Report Random
Weights
Report Read Controls
Report Scan Cells
Report Scan Chains
Report Scan Groups
••
••
••
••
••
••
••
Displays the specified primary outputs. Displays the specified procedure. Displays the list of pulse generator sink (PGS)
gates. Displays the current random pattern
weighting factors for all primary inputs in the random weight list.
Displays all of the currently defined read control lines.
Displays a report on the scan cells that reside in the specified scan chains.
Displays a report on all the current scan chains.
Displays a report on all the current scan chain groups.
Report Scan Instances
Report Scan Models
Report Seq_transparent Procedures
Report Slow Pads Report Statistics
2-14
••
Displays the currently defined sequential scan instances.
Displays the sequential scan models currently in the scan model list.
Displays a list of seq_transparent test procedures along with the associated data that you specify.
Displays all I/O pins marked as slow. Displays a detailed report of the design’s
simulation statistics.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Report Test Stimulus
Report Testability Data
Report Tied Signals
Report Timeplate Report Version Data
Report Write Controls
Reset Au Faults
Reset State
••
••
••
••
••
••
Displays the stimulus necessary to satisfy the specified set, write, or read conditions.
Analyzes collapsed faults for the specified fault class and displays the analysis.
Displays a list of the tied floating signals and pins.
Displays the specified timeplate. Displays the current software version
information. Displays the currently defined write control
lines and their off-states. Re-classifies the faults in certain untestable
categories. Resets the circuit status.
Resume Interrupted Process
Run Save Flattened Model
Save Patterns
Save Schematic
FastScan and FlexTest Reference Manual, V8.6_4
••
••
••
Continues a command that you placed in a
suspended state by entering a Control-C interrupt.
Runs a simulation or ATPG process. Saves the flattened circuit model, the scan
trace, and all DRC related information to a specific file.
Saves the current test pattern set to a file in the format that you specify.
Saves the schematic currently displayed by DFTInsight.
2-15
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Select Iddq Patterns
Select Object Set Abort Limit
Set Atpg Compression
Set Atpg Limits
Set Atpg Window
Set AU Analysis
Set Bist Initialization
••
•••
••
••
Selects the patterns that most effectively detect IDDQ faults.
Selects the specified objects in the design. Specifies the abort limit for the test pattern
generator. Specifies for the ATPG to perform dynamic
pattern compression. Specifies the ATPG process limits at which
the tool terminates the ATPG process. Allows you to specify the size of the FlexTest
simulation window. Specifies whether the ATPG uses the ATPG
untestable information to place ATPG untestable faults directly in the AU fault class.
Specifies the scan chain input value which indicates the states of the scan cells before FastScan applies Built-In Self Test (BIST) patterns.
Set Bus Handling
Set Bus Simulation
Set Capture Clock
2-16
••
••
Specifies the bus contention results that you desire for the identified buses.
Specifies whether the tool uses global or local bus simulation analysis.
Specifies the capture clock name for random pattern simulation.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Capture Handling
Set Capture Limit
Set Checkpoint
Set Clock Restriction
Set Clock_off Simulation
Set Clockpo Patterns
Set Contention Check
••
••
••
Specifies how FastScan globally handles the data capture of state elements that have C3 and C4 rule violations.
Specifies the number of test cycles between two consecutive scan operations.
Specifies whether the tool uses the checkpoint functionality.
Specifies whether the ATPG can create patterns with more than one active capture clock.
Enables or disables simulation with the clocks off.
Specifies whether ATPG can perform pattern creation for primary outputs that connect to clocks.
Specifies the conditions of contention checking.
Set Control Threshold
Set Decision Order
Set Dofile Abort
Set Drc Handling
FastScan and FlexTest Reference Manual, V8.6_4
••
••
Specifies the controllability value for random pattern simulation.
Specifies how the ATPG determines and uses observation points.
Lets you specify whether the tool aborts or continues dofile execution if an error condition is detected.
Specifies how the tool globally handles design rule violations.
2-17
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Driver Restriction
Set Fails Report
Set Fault Mode
Set Fault Sampling Set Fault Type
Set Flatten Handling
Set Gate Level
Set Gate Report
••
••
••
••
•••
•••
••
Specifies whether the tool allows multiple drivers on buses and multiple active ports on gates.
Specifies whether the design rules checker displays clock rule failures.
Specifies whether the fault mode is collapsed or uncollapsed.
Specifies the fault sampling percentage. Specifies the fault model for which the tool
develops or selects ATPG patterns. Specifies how the tool globally handles
flattening violations. Specifies the hierarchical level of gate
reporting and displaying. Specifies the additional display information
for the Report Gates command.
Set Hypertrophic Limit
Set Iddq Checks
Set Iddq Strobe
2-18
••
••
Specifies the percentage of the original design’s sequential primitives that can differ from the good machine before the tool classifies them as hypertrophic faults.
Specifies the restrictions and conditions that you want the tool to use when creating or selecting patterns for detecting IDDQ faults.
Specifies on which patterns (cycles) the tool will simulate IDDQ measurements.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Instancename Visibility
Set Instruction Atpg
Set Internal Fault
Set Internal Name
Set Interrupt Handling
Set IO Mask
•••
••
••
Specifies whether DFTInsight displays instance names immediately above each instance in the Schematic View area.
Specifies whether FlexTest generates
instruction-based test vectors using the random ATPG process.
Specifies whether the tool allows faults within or only on the boundary of library models.
Specifies whether to delete or keep pin names of library internal pins containing no-fault attributes.
Specifies how FlexTest interprets a Control-C
interrupt.
Modifies the behavior of IO pins so that their
expected values will always be X during test cycles in which the primary input portion of the IO pin is being forced.
Set Learn Report
Set List File
Set Logfile Handling
Set Loop Handling
FastScan and FlexTest Reference Manual, V8.6_4
••
••
••
••
Specifies whether the Report Gates command can display the learned behavior for a specific gate.
Specifies the name of the list file into which the tool places the pins’ logic values during simulation.
Specifies for the tool to direct the transcript information to a file.
Specifies how the tool handles feedback networks.
2-19
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Multiple Load
Set Net Dominance
Set Net Resolution Set Nonscan Model
Set Number Shifts
Set Observation Point
Set Observe Threshold
••
••
Specifies how the tool handles multiple scan loads.
Specifies the fault effect of bus contention on tri-state nets.
Specifies the behavior of multi-driver nets. Specifies how FlexTest classifies the behavior
of non-scan cells with the HOLD and INITX functionality during the operation of the scan chain.
Sets the number of shifts for loading or unloading the scan chains.
Specifies the observation point for random pattern fault simulation.
Specifies the minimum number of observations necessary for the Analyze Observe command to consider a point adequately observed.
Set Output Comparison
Set Output Mask
Set Pathdelay Holdpi
Set Pattern Source
2-20
••
Specifies whether FlexTest performs a good circuit simulation comparison.
Specifies how FlexTest handles an unknown (X) state in an external pattern set.
Specifies whether the ATPG keeps non-clock primary inputs at a constant state after the first force.
Specifies the source of the patterns for future Run commands.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Possible Credit
Set Procedure Cycle_checking
Set Pulse Generators
Set Race Data
Set Rail Strength
Set Ram Initialization
Set Ram Test
••
••
••
Specifies the percentage of credit that the tool assigns possible-detected faults.
Enables test procedure cycle timing checking to be done immediately following scan chain tracing during design rules checking.
Specifies whether the tool identifies pulse generator sink (PGS) gates.
Specifies how FlexTest handles the output states of a flip-flop when the data input pin changes at the same time as the clock triggers.
Specifies FlexTest to set the strongest strength of a fault site to a bus driver.
Specifies whether to initialize RAM and ROM gates that do not have initialization files.
Specifies the mode for RAM testing with random or Built-In Self Test (BIST) patterns.
Set Random Atpg
Set Random Clocks
Set Random Patterns
Set Random Weights
FastScan and FlexTest Reference Manual, V8.6_4
••
Specifies whether the tool uses random patterns during ATPG.
Specifies whether FastScan uses combinational or clock_sequential patterns for random pattern simulation.
Specifies the number of random patterns FastScan simulates.
Specifies the default random pattern weighting factor for primary inputs.
2-21
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Redundancy Identification
Set Schematic Display
Set Screen Display
Set Self Initialization
Set Sensitization Checking
Set Sequential Learning
Set Shadow Check
•••
••
••
••
Specifies whether FlexTest performs the checks for redundant logic when leaving the Setup mode.
Changes the default schematic display environment settings for DFTInsight.
Specifies whether the tool writes the transcript to the session window.
Specifies whether FlexTest turns on/off self­initializing sequence behavior.
Specifies whether DRC checking attempts to verify a suspected C3 or C4 rules violation.
Specifies whether the tool performs the learning analysis of sequential elements to make the ATPG process more efficient.
Specifies whether FastScan will identify sequential elements as a “shadow” element during scan chain tracing.
Set Simulation Mode
Set Skewed Load
Set Split Capture_cycle
2-22
Specifies whether the ATPG simulation run uses combinational or sequential RAM test patterns.
Specifies whether FastScan includes a skewed load in the patterns.
Enables or disables the simulation of level sensitive and leading edge state elements updating as a result of applied clocks.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Stability Check
Set Static Learning
Set Stg Extraction
Set System Mode
Set Test Cycle
Set Trace Report
Set Transition Holdpi
••
••
••
Specifies whether the tool checks the effect of applying the main shift procedure on non-scan cells.
Specifies whether FastScan or FlexTest performs the learning analysis to make the ATPG process more efficient.
Specifies whether FlexTest performs state transition graph extraction.
Specifies the system mode you want the tool to enter.
Specifies the number of timeframes per test cycle.
Specifies whether the tool displays gates in the scan chain trace.
Specifies for FastScan to freeze all primary input values other than clocks and RAM controls during multiple cycles of pattern generation.
Set Unused Net
Set Workspace Size
Set Xclock Handling
FastScan and FlexTest Reference Manual, V8.6_4
Specifies whether FlexTest removes unused
bus and wire nets in the design.. Increases the workspace so that FastScan can
try to detect the undetected faults that were aborted due to workspace constraints.
Specifies whether FastScan changes the sequential element model to always set the output of the element to be X when any of its clock inputs become X.
2-23
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Set Z Handling
Set Zhold Behavior
Set Zoom Factor
Setup Checkpoint
Setup LFSRs
Setup Pin Constraints
Setup Pin Strobes
••
•••
••
••
Specifies how the tool handles high impedance signals for internal and external tri-state nets.
Specifies whether ZHOLD gates retain their state values.
Specifies the scale factor that the zoom icons use in the DFTInsight Schematic View window.
Specifies the checkpoint file to which the tool writes test patterns or fault lists during ATPG.
Changes the shift_type and tap_type default setting for the Add LFSRs and Add LFSR Taps commands.
Changes the default cycle behavior for non­constrained primary inputs.
Changes the default strobe time for primary outputs without specified strobe times.
Setup Tied Signals
Step
System
Undo Display
2-24
••
••
•••
Changes the default value for floating pins and floating nets which do not have assigned values.
Single-steps through several cycles of a test set.
Passes the specified command to the operating system for execution.
Restores the previous schematic view.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Summary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Unmark
Unselect Object
Update Implication Detections
View
View Area
Write Core Memory
•••
•••
••
•••
•••
Removes the highlighting from the specified object in Schematic View window.
Removes the specified objects from the selection list.
Performs an analysis on the undetected and possibly-detected faults to see if the tool can classify any of those faults as detected-by­implication.
Displays, in the DFTInsight Schematic View window, the specified objects in the display list.
Displays an area that you specify in the DFTInsight Schematic View window.
Writes to a file the amount of memory that FlexTest requires to avoid paging during the ATPG and simulation processes.
Write Environment
Write Failures Write Faults
Write Initial States
Write Library_verification Setup
FastScan and FlexTest Reference Manual, V8.6_4
••
Writes the current environment settings to the
file that you specify. Writes failing pattern results to a file.
Writes fault information from the current fault list to a file.
Writes the initial state settings of design
instances into the file that you specify. Generates ATPG library verification setup
files.
2-25
Command Summary Command Dictionary
Table 2-1. Command Summary [continued]
D F TIFSF
Command
T
Description
Write Loops Write Modelfile
Write Netlist
Write Paths
Write Primary Inputs Write Primary Outputs
Write Procfile
Write Statistics
••
••
••
••
Writes a list of all the current loops to a file. Writes all internal states for a RAM or ROM
gate into the file that you specify. Writes the modified or new format netlist to
the specified file. Writes the path definitions of the loaded paths
into the file that you specify. Writes the primary inputs to the specified file.
Writes the primary outputs to the specified file.
Writes existing procedure and timing data to the named enhanced procedure file.
Writes the current simulation statistics to the specified file.
Write Timeplate
Zoom In
Zoom Out
2-26
•••
•••
Writes the default timing information for non­scan related events into the file that you specify.
Enlarges the objects in the DFTInsight Schematic View window by reducing the displayed area.
Reduces the objects in the DFTInsight Schematic View window by enlarging the displayed area.
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Command Descriptions

Command Descriptions

The remaining pages in this chapter describe, in alphabetical order, the commands used either in FastScan or FlexTest. Each command description begins on a new page and contains a line indicating the applications that are supported. The descriptions of commands that support both FastScan and FlexTest apply equally to both tools unless specified otherwise. All commands are available in both the point tool version and falcon version unless otherwise noted. You can use the line continuation character “\” when application commands extend beyond the end of a line. The line continuation character improves the readability of dofiles and helps with the command line entry of multiple-argument commands.
FastScan and FlexTest Reference Manual, V8.6_4
2-27
Abort Interrupted Process Command Dictionary

Abort Interrupted Process

Tools Supported: FlexTest Scope: All modes Prerequisites: The Set Interrupt Handling command must be on and you must
interrupt a FlexTest command with a Control-C.
Usage
ABOrt INterrupted Process
Description
Aborts a command placed in suspended state by a Control-C interrupt while the Set Interrupt Handling command is on.
The Abort Interrupted Process command aborts a FlexTest command that you previously interrupted by pressing the Control-C keys. This removes the interrupted command from the suspend-state and returns control to FlexTest.
Examples
The following example enables the suspend-state interrupt handling, begins an ATPG run, and (sometime before the run completes) interrupts the run:
set interupt handling on set system mode atpg add faults -all run <Control-C>
Now with the Run suspended, the example continues by reporting all the untestable faults to the display and then aborts the Run:
report faults faultlist -class ut abort interrupted process
Related Commands
Resume Interrupted Process Set Interrupt Handling
2-28
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Ambiguous Paths

Add Ambiguous Paths

Tools Supported: FastScan Scope: Atpg, Fault, and Good modes Prerequisites: This command supports the path delay fault model.
Usage
ADD AMbiguous Paths {path_name | -All} [-Max_paths number]
Description
Specifies for FastScan to select multiple paths when there is path ambiguity. When paths have path ambiguity, by default FastScan selects a single path that
satisfies the pin connectivity within the path definition file. If you want FastScan to have additional choices for path ambiguity, you can use the Add Ambiguous Paths command. When FastScan selects from the ambiguous paths it only considers the possible connectivity between points and does not attempt to determine whether the edges are sensitive.
For more information on path delay faults, refer to “Creating a Path Delay Test
Set” in the Scan and ATPG Process Guide.
Arguments
path_name
A string that specifies the name of an ambiguous path that you want to add to the path list.
-All
A switch specifying that you want to add all ambiguous paths into the path list.
-Max_paths number
An optional switch and integer pair that specifies the maximum number of ambiguous paths you want FastScan to process. If you issue this command without this switch, the default maximum number of ambiguous paths is 10.
FastScan and FlexTest Reference Manual, V8.6_4
2-29
Add Ambiguous Paths Command Dictionary
Examples
The following example loads in a path definition file and changes the maximum number of paths to five:
load paths add ambiguous paths -all -max_paths 5
Related Commands
Load Paths
2-30
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Atpg Constraints

Add Atpg Constraints

Tools Supported: FastScan and FlexTest Scope: All modes Prerequisites: You can use this command only after the tool flattens the design to
the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flatten Model command.
Usage
For FastScan
ADD ATpg Constraints {0 | 1 | Z} {pin_pathname | gate_id# | function_name |
{-Cell cell_name {pin_name...}}}... [­[-NOCapclock_check]
Dynamic | -Static]
For FlexTest
ADD ATpg Constraints {0 | 1 | Z} {pin_pathname | net_pathname | gate_id# |
function_name | {-Cell cell_name {{pin_name | net_name}...}}}...
Dynamic | -Static]
[-
Description
Specifies that the tool restrict all patterns it places into the internal pattern set according to the user-defined constraints.
When the tool rejects a simulated pattern, it generates a message indicating the number of rejected patterns and the first gate at which the failure occurred. You can control the severity of the violation with the Set Contention Check command. If you set the checking severity to Error, the tool terminates the simulation if it rejects a pattern due to a user-defined constraint. You can analyze the simulation data up to the termination point by using the Report Gates command with the Error_pattern option.
When either FlexTest generates test patterns or FastScan generates test patterns using deterministic test generation methods, the tool ensures that it uses the user­defined pin constraints. When FastScan generates test patterns randomly, it does not have complete control over the highly automated process, which means that FastScan cannot ensure the use of the user-defined ATPG constraints. However, FastScan will reject non-conforming random patterns.
FastScan and FlexTest Reference Manual, V8.6_4
2-31
Add Atpg Constraints Command Dictionary
If you change an ATPG constraint for a single internal set of patterns, the tool continues pattern compression using the new constraints, which can cause the tool to reject good patterns. Therefore, you should remove all ATPG constraints before compressing the pattern set.
If you constrain a pin by directly creating an ATPG constraint to the pin_pathname, and then create another constraint that
Note
indirectly creates a different constraint, the tool uses the constraint that directly specified the pin_pathname (overriding the global ATPG cell constraint).
The Add Atpg Constraints command allows you to change the ATPG constraints any time during the ATPG process (-Dynamic), affecting only the fault simulation and test generation that occurs after the constraint changes. The fault simulator rejects any subsequently simulated patterns that fail to meet the now current constraints.
Dynamic ATPG constraints do not affect DRC because of their temporary nature. Static ATPG constraints are unchangeable in ATPG mode, ensuring that DRC must be repeated if they are changed.
FlexTest Specifics
In addition to the functionality mentioned above, the Add Atpg Constraints command lets you constrain a net. Thus, if the circuit structure changes and the ATPG constraints specified on the net pathnames do not change, you do not have to identify the instance and the pin on which the ATPG constraints have to be applied. If any ATPG constraint is added to the net, the equivalent pin is found first and the function is added to that pin instead. Therefore, the Report Atpg Constraints command may not show the net pathname specified. The constraints added to the net can be deleted using the same net name.
Arguments
You must choose one of the following three literals to indicate the state value to which you want the tool to constrain the specified object:
2-32
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Atpg Constraints
0 | 1 | Z
A literal that restricts the named object to a low state, high state, or high impedance state, respectively.
The following lists the four methods for naming the objects on which you wish to place the constraint. You can use any number of the four argument choices, in any order.
pin_pathname
A repeatable string that specifies the pathname to the pin on which you are placing the constraint.
net_pathname (FlexTest Only)
A repeatable string that specifies the pathname of the net on which you are placing the constraint. You cannot put ATPG constraints on a net in any library modules.
gate_id#
A repeatable integer that specifies the gate identification number of the gate you wish to constrain.
function_name
A repeatable string that specifies the name of a function you created with the Add Atpg Functions command. If you place a constraint on an ATPG function (that you generated with the Add Atpg Function -Cell command), then the tool also constrains all cells affected by that ATPG function. You can delete all these constraints using the function_name argument with the Delete Atpg Constraints command.
-Cell cell_name {pin_name | net_name (FlexTest Only)}
A repeatable switch with a corresponding string pair that specifies the name of a DFT library cell and the name of a specific net (FlexTest Only) or pin on that cell. You can repeat the pin_name or net_name argument if there are multiple pins or nets on a cell that you need to constrain.
If you use the -Cell option, the tool places an ATPG constraint on every occurrence of that cell within the design. However, there is no -Cell option to the Delete Atpg Constraints command, so you can either delete them individually or delete all the ATPG constraints.
FastScan and FlexTest Reference Manual, V8.6_4
2-33
Add Atpg Constraints Command Dictionary
-Dynamic
An optional switch specifying that the tool only need satisfy the ATPG constraints during the ATPG process and not during design rules checking. You can change these constraints during the ATPG process, therefore, Design Rules Checking (DRC) does not check these constraints. This is the default behavior.
-Static
An optional switch specifying that the tool (during all its processes) must always satisfy the ATPG constraint you are defining. You can only add or delete static ATPG constraints when you are in Setup mode, ensuring that the tool uses the static ATPG constraints for all ATPG analyses during design rules checking. DRC checks for any violations of ATPG constraints during the simulation of the test procedures (rule E12).
-NOCapclock_check (FastScan Only)
An optional switch specifying that the tool suppress checking of specified ATPG constraints after the capture clock. By default, the tool checks ATPG constraints at the same time as bus contention. If, and only if, the tool performs contention checking after the capture clock, the tool also checks ATPG constraints after the capture clock.
In some situations, you may have some ATPG constraints that do not need to be checked after the capture clock, although you may want the tool to check other constraints and bus contention after the capture clock. In this case, you can use the -Nocapclock_check switch on certain constraints to suppress checking of those constraints after the capture clock.
Examples
The following example creates a user-defined ATPG function and then uses it when creating ATPG pin constraints:
add atpg functions and_b_in and /i$144/q /i$141/q /i$142/q add atpg constraints 0 /i$135/q add atpg constraints 1 and_b_in
2-34
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Atpg Constraints
Related Commands
Add Atpg Functions Delete Atpg Constraints
Report Atpg Constraints
FastScan and FlexTest Reference Manual, V8.6_4
2-35
Add Atpg Functions Command Dictionary

Add Atpg Functions

Tools Supported: FastScan and FlexTest Scope: All modes (except for some FlexTest options) Prerequisites: You can use this command only after the tool flattens the design to
the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flatten Model command.
Usage
For FastScan
ADD ATpg Functions function_name type {pin_pathname | gate_id# |
function_name | {-Cell cell_name {pin_name...}}}...
For FlexTest
ADD ATpg Functions function_name type {pin_pathname | net_pathname |
gate_id# | function_name | {-Cell cell_name {{pin_name | net_name}...}}}...
[-Init_state {0 | 1 | X}...]
Description
Creates an ATPG function that you can then use when generating user-defined ATPG constraints.
You can specify any combination of pin pathnames, gate identification numbers, and previously user-defined functions up to a maximum of 32 objects for each function. You can precede any object with the ~ (tilde) character to indicate an inverted input with respect to the function. If you specify an input pin pathname, the tool automatically converts it to the output pin of the gate which drives that input pin.
FlexTest Specifics
Temporal ATPG functions can be specified by using a Delay primitive to delay the signal for one time frame. Temporal constraints can be achieved by combining
2-36
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Atpg Functions
ATPG constraints with this temporal function option. The -Init_state switch allows you to specify initial values when using Frame or Cycle functions.
Temporal constraints cannot be used with self-initialized test sequences. FlexTest requires the first test vector of the current test
Note
sequence to satisfy the temporal constraints with the previous generated test sequence. Refer to the Set Self Initialization command for more information.
The Add Atpg Functions command also lets you add ATPG functions to a net. Thus, if the circuit structure changes and the ATPG functions specified on the net pathnames do not change, you do not have to identify the instance and the pin on which the ATPG functions have to be applied. If any ATPG function is added to the net, the equivalent pin is found first and the function is added to that pin instead. Therefore, the Report Atpg Function command may not show the net pathname specified.
Arguments
function_name
A required string that specifies the name of the ATPG function that you are creating. You can use this function_name as an argument to the Add Atpg Constraints command.
type
A required argument specifying the operation that the function performs on the selected objects. The choices for the type argument, from which you can select only one, are as follows:
And — The output of the function is the same as for a standard AND gate. Or — The output of the function is the same as for a standard OR gate. Equiv — The output of the function is a high state (1) if all its inputs are at
a low state (0), or if all its inputs are at a high state (1). So, the function’s output is a low state if there is at least one input at a low state and at least one input at a high state.
FastScan and FlexTest Reference Manual, V8.6_4
2-37
Add Atpg Functions Command Dictionary
Select — The output of the function is a high state (1) if all its inputs are at a low state (0) or if one input is at a high state and the other inputs are at a low state. So, the function’s output is at a low state if there are at least two inputs at a high state.
SELECT1 — The output of the function is a high state (1) if one input is at a high state and the other inputs are at a low state (0). So, the function’s output is a low state if there are at least two inputs at a high state or all inputs are at a low state.
Frame (FlexTest Only) — The output of the function is delayed by one time frame. This option is not available in Setup mode.
Cycle (FlexTest Only) — The output of the function is delayed by one test cycle. This option is not available in Setup mode.
-Init_state 0 | 1 | X (FlexTest Only)
An optional switch that defines the initial state value of a Frame or Cycle function. You must specify this option at the end of the Add Atpg Functions command when using the Frame or Cycle function type. If this option is not given, the initial value is assumed to be X. For Frame, only one initial value is needed. For Cycle, the number of initial values specified is the same as the number of frames per cycle which is defined in Set Test Cycle command. For example, if there are 3 time frames per cycle, the corresponding command is:
Add Atpg Function foo_cycle cycle foo -init_state 110
For multiple initial values, the order specified begins with the value specified furthest on the right. In the example above, the first initial value is 0 followed by 1, and finally by 1 again.
The following lists the methods for naming the objects on which the function operates. You can use any number of the argument choices, in any order.
pin_pathname
A repeatable string that specifies the pathname to the pin on which you are placing the function. If you specify an input pin name, the tool automatically replaces it with the output pin of the gate that drives that input pin.
gate_ID#
A repeatable integer that specifies the gate identification number
2-38
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Atpg Functions
function_name
A repeatable string that specifies the name of another function you created with the Add Atpg Functions command. The function_name argument cannot be the same as any pin name in the design.
-Cell cell_name {pin_name | net_name (FlexTest Only)}
A repeatable switch with a corresponding pair of strings that specify the name of a DFT library cell and the name of a specific net (FlexTest Only) or pin on that cell. You can repeat the pin_name or net_name argument if you need to constrain multiple pins or nets on a cell.
If you use the -Cell option, the tool places an ATPG function on every occurrence of that cell within the design.
net_pathname (FlexTest Only)
A repeatable string that specifies the pathname to the net on which you are placing the function. You cannot put ATPG functions on a net in any library modules.
Examples
The following example creates an ATPG function and then uses it in an Add Atpg Constraints command:
add atpg functions and_b_in and /i$144/q /i$141/q /i$142/q add atpg constraints 1 and_b_in
Related Commands
Add Atpg Constraints
Report Atpg Functions
Delete Atpg Functions
FastScan and FlexTest Reference Manual, V8.6_4
2-39
Add Capture Handling Command Dictionary

Add Capture Handling

Tools Supported: FastScan Scope: All modes Prerequisites: You can use this command only after FastScan flattens the design to
the simulation model, which happens when you first attempt to exit Setup mode or when you issue the Flatten Model command.
Usage
ADD CApture Handling {Old | New | X} {gate_id# | pin_pathname |
instance_name | {-Cell cell_name}}... [-
Description
Specifies the data capturing behavior for the given state element.
SInk | -SOurce]
After changing the data capture handling for selected state elements with Add Capture Handling, you need to issue the Set Capture Handling command to allow FastScan to automatically identify the upstream state elements with their associated sinks, and the downstream state elements with the associated sources you defined.
When you use the Add Capture Handling command to change the data capture handling settings, you cannot define source points with the new handling behavior if they propagate to sink points that do not have the new behavior, or to non-clock primary outputs. If FastScan has different capture handling behaviors for the same state element, the behavior you define with the Add Capture Handling command overrides the behavior you globally defined with the Set Capture Handling command.
FastScan limits the scope of the effect of the capture handling behavior to the circuitry between the source and the sink points. You cannot simulate a newly captured effect past the sink point.
You can change the simulation behavior of RAM models with data hold capability using the Add Capture Handling command. This is useful in cases when it is required to model a RAM which has data hold capability but does not introduce any latency.
2-40
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Capture Handling
Arguments
You must choose one of the following three literals to indicate the data capture handling behavior for the specified state elements:
Old
A literal specifying that the source state elements determine their output values for data capture by using the data that existed prior to the current clock cycle. FastScan then passes the data on to the source state element’s sink state elements. This option is the default behavior upon invocation of FastScan.
New
A literal specifying that the source state elements determine their output values for data capture by using the data from the current clock cycle. FastScan then passes the data on to the source state element’s sink state elements.
X
A literal specifying that the source state elements use the output values from the current clock cycle for data capture unless the previous values are different from the current values. If the values differ, the source passes unknown (X) values onto the source state element’s sink state elements.
The following lists the four methods for naming the state elements on which the function operates. You can use any number of the four argument choices, in any order.
gate_id#
A repeatable integer that specifies the gate identification number of the object. The value of the gate_id# argument is the unique identification number that FastScan automatically assigns to every gate within the design during the model flattening process.
pin_pathname
A repeatable string that specifies the name of a pin within the design.
FastScan and FlexTest Reference Manual, V8.6_4
2-41
Add Capture Handling Command Dictionary
instance_name
A repeatable string that specifies the name of an RAM instance within the design.
The instance name parameter is only valid for RAM’s and FF’s.
Note
-Cell cell_name
A repeatable switch and string pair that specifies the name of a cell.
-SInk
An optional switch specifying that the state element you name is a termination point for data capture. This is the command’s default behavior.
-SOurce
An optional switch specifying that the state element you name is an origination point for data capture.
Examples
The following example changes the data capture handling of a specific gate and then globally assigns the data capture handling for all C3 and C4 rules:
add capture handling new 1158 -source set capture handling -te new -atpg
// Begin capture handling analysis: LS=OLD, TE=NEW (#C3=1
#C4=1), #user_pts=1/0
// Capture handling analysis completed: #sources=1,
#int_gates=3, #sinks=1, CPU_time=0.03 sec
// Warning: 1 scan source points with incompatible handling
were identified
Related Commands
Delete Capture Handling
Set Capture Handling
Report Capture Handling
2-42
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Cell Constraints

Add Cell Constraints

Tools Supported: FastScan and FlexTest Scope: Setup mode
Usage
ADD CEll Constraints {pin_pathname | {chain_name cell_position}} C0 | C1 |
CX | Ox | Xx
Description
Constrains scan cells to be at a constant value. The Add Cell Constraints command constrains scan cells slightly differently for
FastScan and FlexTest. For FastScan, the command constrains scan cells to be at a constant value during the ATPG process. For FlexTest, the command constrains scan cells so that the tool loads them with a constant value during scan loading, however, scan cells may change value after scan loading.
For both tools, you identify a scan cell by either specifying an output pin pathname that connects to a scan memory element or by specifying a scan chain name along with the cell’s position in the scan chain. The tool places the constraint value that you specify at either the output pin or the scan cell MASTER.
The rules checker audits the correctness of the data that defines the constrained scan cells immediately after scan cell identification. The checker identifies all invalid scan cell constraints and an error condition occurs.
In the case of scan cells with improper controllability or observability, rather than rejecting these circuits you can constrain (or mask) their controllability or observability.
Arguments
pin_pathname
A string that specifies the name of an output pin of the scan cell or an output pin directly connected through only buffers and inverters to the output of a scan memory element. The scan memory element is set to the value that you specify such that the pin is at the constrained value.
FastScan and FlexTest Reference Manual, V8.6_4
2-43
Add Cell Constraints Command Dictionary
An error condition occurs if the pin pathname does not resolve to a scan memory element. Buffers and inverters may reside between the pin and the memory element.
chain_name cell_position
A string pair that specifies the name of the scan chain and the position of the cell in the scan chain. The scan chain must be a currently-defined scan chain and the position must be an integer where 0 is the scan cell closest to the scan­out pin. You can determine the position of a cell within a scan chain by using the Report Scan Cells command.
The MASTER memory element of the specified scan cell is set to the value that you specify; there is no inversion. However, the tool may invert the output pin of the scan cell if there is anything between it and the MASTER memory element if inversion exists between the MASTER and the scan output pin of the scan cell only.
C0
A literal that constrains the scan cell to load value 0 only.
C1
A literal that constrains the scan cell to load value 1 only.
CX
A literal that specifies to simulate the loaded scan cell value as unknown (uncontrollable).
Ox
A literal that specifies to simulate the unloaded scan cell value as unknown (unobservable).
Xx
A literal that constrains the scan cell to be both uncontrollable and unobservable (CX and Ox).
2-44
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Cell Constraints
Examples
The following example constrains a scan cell in the scan chain to be at a constant one:
add scan groups group1 proc.g1 add scan chains chain1 group1 scanin1 scanout1 add clocks 0 clock1 add cell constraints chain1 5 c1 report cell constraints set system mode atpg
Related Commands
Delete Cell Constraints Report Cell Constraints
Report Scan Cells Report Scan Chains
FastScan and FlexTest Reference Manual, V8.6_4
2-45
Add Cell Library Command Dictionary

Add Cell Library

Scope: All modes Prerequisites: This command is only useful when writing out an EDIF netlist.
Usage
ADD CEll Library library_name {{-Model model_name} | -All}
Description
Specifies the EDIF library in which to place all or specified library models. The Add Cell Library command lets you specify into which EDIF library to place
the library models. You can also specify an individual model of inserted test logic to place into the library.
Arguments
library_name
A required string that specifies the name of the EDIF library to create.
{-Model model_name} | -All
A required switch and string that lets you name the specific inserted test logic model or the entire library to place in the specified EDIF library.
Example
The following example specifies that all test logic to be placed in the EDIF library “pad_lib”:
add cell library pad_lib -all
The following example specifies that if any test logic of model type “MUX21” was inserted by the tool, the model cell definition is to be placed into the EDIF library “mux_lib”.
add cell library mux_lib -model MUX21
2-46
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Clocks

Add Clocks

Tools Supported: FastScan and FlexTest Scope: Setup mode
Usage
ADD CLocks off_state primary_input_pin...
Description
Adds clock primary inputs to the clock list. The Add Clocks command adds scan or non-scan clock pins to the clock list for
proper scan operation. The tool considers any signal to be a clock if it can change the state of a sequential element, including system clocks, sets, and resets.
Pins that you add to the clock list must have an off–state. The off-state of a clock pin is the value on the pin which results in the clock inputs of sequential memory elements becoming inactive. For edge-triggered devices, the off–state is the value on the pin that results in placing their clock inputs at the initial value of a capturing transition. The tool also considers set and reset lines as clock lines. You can constrain a clock pin to its off-state in order to suppress its use as a capture clock during the ATPG process. The constrained value must be the same as the clock off-state or an error occurs. If you add an equivalence to the clock list, the tool adds all of its equivalent pins to the clock list as well.
Arguments
off_state
A required literal that specifies the pin value that inactivates the sequential memory elements. The off_state choices are as follows:
0 — A literal specifying that the off-state value is 0. 1 — A literal specifying that the off-state value is 1.
primary_input_pin
A required repeatable string that lists the primary input pins that you want to assign as clocks. The list of primary input pins must all have the same off_state.
FastScan and FlexTest Reference Manual, V8.6_4
2-47
Add Clocks Command Dictionary
Examples
The following example adds a scan clock to the clock list with on off-state for proper scan operation:
add scan groups group1 proc.g1 add scan chains chain1 group1 scin1 scout1 add clocks 1 clock1
Related Commands
Delete Clocks Report Clocks
Set Clock Restriction
2-48
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Cone Blocks

Add Cone Blocks

Tools Supported: FastScan and FlexTest Scope: Setup mode
Usage
ADD COne Blocks pin_pathname... [-
Description
Specifies the blockage points that you want the tool to use during the calculation of the clock and effect cones.
The Add Cone Blocks command overrides the default clock or effect cone blockage points that the tool uses. For example, if you are getting a clock rules violation, you may want to change the clock cone blockage point that the tool uses in its calculations. However, you need to ensure that by changing the blockage point you are not introducing a problem downstream in the ATPG process (such as disturbing the scan chain during the scan operation.)
When you change the blockage point for a clock or effect cone, the tool performs rules checking on the validity of the pin that you specified during the general rules checking process. If there is a violation against the pin, the tool assigns it a rule violation identification number of G12.
Arguments
Both | -Clock | -Effect] [-CEll cell_name]
pin_pathname
A required repeatable string that specifies the output pin of a cell as a blockage point.
-Both
An optional switch that specifies that the cone blockage point is for both the clock cone and the effect cone calculations. This is the command default.
-CLock
An optional switch that specifies the cone blockage point is only for the clock cone calculation.
FastScan and FlexTest Reference Manual, V8.6_4
2-49
Add Cone Blocks Command Dictionary
-Effect
An optional switch specifying that the cone blockage point is only for the effect cone calculation.
-CEll cell_name
An optional switch and string pair that specify the name of a DFT library cell at whose pin_pathnames you want the tool to place clock cone block points.
Examples
The following example shows a clock that fails on the C3 rule, which says that the clock input of a scan latch is in both the clock and effect cone. If you know that it will not cause a problem downstream, you can change the blockage point the tool uses for the clock cone (or effect cone) and allow that element to pass through the rules checker.
// ---------------------------------------------------------­// Begin scan clock rules checking. // ---------------------------------------------------------­// 5 scan clock/set/reset lines have been identified. // All scan clocks successfully passed off-state check. // All scan clocks successfully passed capture ability check. // Error: Clock /clk failed rule C3 on input 7 of /LS0 (83). // Source of violation: input 7 of /LS0 (83). // Error: Rules checking unsuccessful, cannot exit SETUP mode.
add cone blocks /ls0/q -clock report cone blocks
clock /LS0/Q
set system mode atpg
...
Related Commands
Delete Cone Blocks Report Cone Blocks
2-50
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Control Points

Add Control Points

Tools Supported: FastScan Scope: Atpg, Fault, and Good modes
Usage
ADD COntrol Points pin_pathname... [-Type {
Description
Adds control points to output pins. The Add Control Points command adds control points to the output pins of cells.
After you issue this command, the tool discards all of the patterns in the current scan test pattern set. After insertion, the tool discards the current fault list, so you must recreate the fault list if you wish to perform additional fault simulation. If you enter the Setup mode, the tool deletes any control points you added. Moreover, you cannot generate test patterns after adding control points.
When you add a control point, the output pins are exclusive-ORed (Xor), ANDed (And), or ORed (Or) with random values to create the control effect. The default is exclusive-OR. You can evaluate the effect of any added controllability by using the Analyze Control or Set Random Patterns commands.
You use Add Control Points primarily for simulating Built-In Self Test (BIST) circuitry.
Xor | And | Or}] [-Group]
Arguments
pin_pathname
A required repeatable string that lists the cell output pins to which you are adding control points.
-Type Xor | And | Or
An optional switch and literal pair that specifies the type of control effect you want to apply to the control points. The following lists the control effect types available:
Xor — A literal specifying that FastScan perform an exclusive-OR of the cell output pins and random values. This is the default.
FastScan and FlexTest Reference Manual, V8.6_4
2-51
Add Control Points Command Dictionary
And — A literal specifying that FastScan perform an AND of the cell output pins and random values.
Or — A literal specifying that FastScan perform an OR of the cell output pins and random values.
-Group
An optional switch specifying for the tool to assume that a single point controls the pin_pathnames.
Examples
The following example adds a control point to the cell output pin, I_1006/O, to analyze its controllability effects:
set system mode atpg analyze control report control data add control points I_1006/O analyze control report control data
Related Commands
Analyze Control Delete Control Points
Report Control Data Report Control Points
2-52
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Display Instances

Add Display Instances

Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: This command can only operate on the flattened simulation model
of the design. The design flattening happens when you first attempt to exit Setup mode, or when you issue the Flatten Model command.
Usage
ADD DIsplay Instances {{gate_id# [-I input_pin_id | -O output_pin_id]} |
pin_pathname | instance_name}... [-Forward | -Backward] [-
-Cone | -End_point | -Decision_ point]
DFTInsight Menu Paths:
Display > Additions: Named Instances Display > Back Trace >... Display > Forward Trace >...
Level number |
Description
Adds the specified instances to the netlist for display. The Add Display Instances command creates a netlist containing the gates that
you specify. If you already have DFTInsight invoked, the viewer automatically displays the graphical representation of the netlist and also marks key instances in the schematic view. Otherwise (if licensed), DFTInsight is automatically invoked on the netlist.
Arguments
The following lists the three methods for naming the objects that you want DFTInsight to display. You can use any number of the three argument choices, in any order.
gate_id# -I input_pin_id | -O output_pin_id
A repeatable integer and optional switch and number pair that specifies the gates that DFTInsight displays. The value of the gate_id# argument is the
FastScan and FlexTest Reference Manual, V8.6_4
2-53
Add Display Instances Command Dictionary
unique identification number that the tool automatically assigns to every gate within the design during the model flattening process.
You can optionally specify an input or output pin identification number for each gate by appending one of the following switch and number pairs to the gate_id#:
gate_id# -I input_pin_id# — A gate identification number with an optionally appended switch and number pair that specifies the input pin identification number.
The tool assigns the input pins their identification numbers beginning with the upper pins and moving to the lower pins, starting with the number zero. DFTInsight then displays the gates that connect to the specified input pin of the given gate_id#.
gate_id# -O output_pin_id# — A gate identification number with an optionally appended switch and number pair that specifies the output pin identification number.
The tool assigns the output pins their identification numbers beginning with the upper pins and moving to the lower pins, starting with the number zero. DFTInsight then displays the gates that connect to the specified output pin of the given gate_id#.
pin_pathname
A repeatable string that specifies the name of a top-level pin within the design. DFTInsight displays the associated gate for that pin_pathname.
instance_name
A repeatable string that specifies the name of a top-level instance within the design. DFTInsight displays the associated gate for that instance_name.
-Forward
An optional switch specifying that the trace from the given objects is forward, towards the primary output pins.
If you do not explicitly specify a stopping_point switch in combination with this switch, the command default is for the forward trace to include only one level of gates.
2-54
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Display Instances
-Backward
An optional switch specifying that the trace from the given objects is backward, towards the primary input pins.
If you do not explicitly specify a stopping_point switch in combination with this switch, the command default is for the backward trace to include only one level of gates.
The stopping_point is an optional switch argument that specifies the last gate that you want DFTInsight to include in the display. The following information describes the choices, from which you can select only one:
-Level number
An optional switch and integer pair that specifies for DFTInsight to stop the trace after it reaches the given number of connected gates. If you do not use one of the stopping_point arguments with the command, the default is -
1. You can use this switch in combination with either the -Forward or
-Backward switch.
Level
-Cone
An optional switch that specifies for DFTInsight to stop the trace after it reaches all the gates in a cone of a clock. A cone is bound by tie gates, state elements, primary inputs, and primary outputs. This switch requires that you specify the direction in which DFTInsight performs the trace by using either the -Forward or -Backward switch.
-End_point
An optional switch that specifies for DFTInsight to continue the trace until it reaches either a primary input, primary output, or a tie gate. This switch requires that you specify the direction in which DFTInsight performs the trace by using either the -Forward or -Backward switch.
-Decision_point
An optional switch that specifies for DFTInsight to continue the trace until it reaches a multiple-input gate. The trace includes all the inputs of the multiple­input gate, but stops after that point. This switch requires that you specify the direction in which DFTInsight performs the trace by using either the -Forward or -Backward switch.
FastScan and FlexTest Reference Manual, V8.6_4
2-55
Add Display Instances Command Dictionary
Examples
The following paragraphs provide examples that use the Add Display Instances command to display various gates.
The first example invokes DFTInsight, then displays a single gate by specifying the gate identification number (51).
open schematic viewer add display instances 51
The next example specifies that the tool additionally display the next three levels of fanout gates from the number one input of gate 51. The command displays the gates that the number one input of gate 51 feeds (first level), all the fanout gates from those gates (second level), plus all the gates that fanout from the second­level gates (third level).
add display instances 51 -i 1 -f -level 3
The final example clears the schematic display of all gates, then creates a new display that shows the associated gate for the specified instance, along with a backtracking of all the gates until the trace reaches either a primary input or tie gate.
delete display instances -all add display instances i_7_16 -b -end_point
Related Commands
Delete Display Instances Read Modelfile
Report Display Instances
2-56
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Display Loop

Add Display Loop

Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: You can use this command only after the tool performs the learning
process, which happens immediately after flattening a design to the simulation model. Flattening occurs when you first attempt to exit Setup mode or when you issue the Flatten Model command.
Usage
ADD DIsplay Loop pin_pathname | feedback_id#... | -All DFTInsight Menu Path:
Display > Additions: Loop
Description
Displays all the gates in a specified feedback path. The Add Display Loop command creates a netlist containing a specific feedback
path which the tool identified during the circuit learning process. The learning process provides an identification number and a list of gates for each such feedback path. By default, the gate lists include any duplicated gates. You can suppress duplicated gates by using the Set Loop Handling command prior to initiating the circuit learning process.
The Add Display Loop command allows you to specify a feedback path by its identification number. You can display a list of all the feedback path identification numbers by using the Report Feedback Paths command.
If you already have invoked DFTInsight on a flattened design, the viewer automatically displays the graphical representation of the netlist and also marks key instances in the schematic view. Otherwise (if licensed), DFTInsight is automatically invoked on the netlist.
FastScan and FlexTest Reference Manual, V8.6_4
2-57
Add Display Loop Command Dictionary
Arguments
pin_pathname
A string that specifies the pin_pathname of a feedback path gate. When you specify a gate pin name, DFTInsight displays the complete feedback path in which the gate resides.
feedback_id#
A repeatable integer that specifies the identification number of the feedback path whose gates you want DFTInsight to display.
-All
A switch specifying that DFTInsight display the gates for all of the feedback paths.
Examples
The following example invokes the optional schematic viewing application, leaves the Setup mode (thereby flattening the simulation model and performing the learning process), displays the identification numbers of any learned feedback paths, and then schematically displays one of the feedback paths:
open schematic viewer set system mode atpg report feedback paths
Loop#=0, feedback_buffer=26, #gates_in_network=5 INV /I_956__I_582/ (51) PBUS /I_956__I_582/N1/ (96) ZVAL /I_956__I_582/N1/ (101) INV /I_956__I_582/ (106) TIEX /I_956__I_582/ (26) Loop#=1, feedback_buffer=27, #gates_in_network=5 INV /I_962__I_582/ (52) PBUS /I_962__I_582/N1/ (95) ZVAL /I_962__I_582/N1/ (100) INV /I_962__I_582/ (105) TIEX /I_962__I_582/ (27)
add display loop 1
2-58
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Display Loop
Related Commands
Report Feedback Paths Set Loop Handling
FastScan and FlexTest Reference Manual, V8.6_4
2-59
Add Display Path Command Dictionary

Add Display Path

Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: This command can only operate on the flattened simulation model
of the design. The design flattening happens when you first attempt to exit Setup mode, or when you issue the Flatten Model command.
Usage
For FastScan:
ADD DIsplay Path {-Delay_path path_name} | -All | {{gate_id_begin# |
instance_name_begin} [gate_id_end# | instance_name_end] [-Noblock]}
For FlexTest:
ADD DIsplay Path {gate_id_begin# | instance_name_begin} [gate_id_end# |
instance_name_end] [-Noblock]
DFTInsight Menu Path:
Display > Additions: Delay Path
Description
Displays all the gates associated with the specified path. The Add Display Path command creates a netlist containing the named path. If
you already have invoked DFTInsight on a flattened design, the viewer automatically displays the graphical representation of the netlist and also marks key instances in the schematic view. Otherwise (if licensed), DFTInsight is automatically invoked on the netlist.
You specify a particular path by indicating the beginning gate or instance and the end gate or instance of the path or by just indicating the beginning gate or instance if the path is a loop. If the tool cannot identify a path or a loop, then it displays an error message. State elements and tie gates block the path unless you specify the
-Noblock switch.
2-60
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Display Path
FastScan Specifics
When using FastScan you can optionally display delay paths that reside in a path definition file. To do so, simply use the -Delay_path switch and the path name. You can display a list of all the paths and their names by using the Report Paths command.
Arguments
-Delay_path path_name (FastScan Only)
A switch and string pair that specifies the name of a path defined in a path definition file. FastScan uses the path definition to create a gate list containing all the gates associated with the path and then passes the list to DFTI for graphical display.
-All (FastScan Only)
A switch that causes DFTInsight to display all paths that are currently defined in the path definition file.
instance_name_begin
A string specifying the name of the first gate instance in the path you want to display in the DFTInsight schematic viewer.
If you pair this argument with an instance_name_end argument, the command displays all the gates between instance_name_begin and instance_name_end.
If you only specify the instance_name_begin, then the tool assumes the path is a feedback path. If the tool does not find a feedback path, it displays an error message.
gate_id_begin#
An integer specifying the gate identification number of the first gate in the path that you want the DFTInsight schematic viewer to display. The value of the gate_id_begin# argument is the unique identification number that the tool automatically assigns to every gate within the design during the model flattening process.
If you pair this argument with a gate_id_end# argument, the command displays all the gates between gate_id_begin# and gate_id_end#.
FastScan and FlexTest Reference Manual, V8.6_4
2-61
Add Display Path Command Dictionary
If you only specify the gate_id_begin#, then the tool assumes the path is a feedback path. If the tool does not find a feedback path, then it displays an error message.
instance_name_end
An optional string specifying the name of the last gate instance in the path that you want the DFTInsight schematic viewer to display. You can only pair this argument with the instance_name_begin argument.
gate_id_end#
An optional integer specifying the gate identification number of the last gate in the path that you want the DFTInsight schematic viewer to display. The value of the gate_id_end# argument is the unique identification number that the tool automatically assigns to every gate within the design during the model flattening process.
You can only pair this argument with the gate_id_begin# argument.
-Noblock
An optional switch that causes the tool to not allow state elements and tie gates to block the path.
Examples
The following example invokes DFTInsight, then displays a custom gate path by specifying the first and last gate identification numbers in the path (51 and 65):
open schematic viewer add display path 51 65
Related Commands
Report Paths
2-62
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Display Scanpath

Add Display Scanpath

Tools Supported: DFTInsight, FastScan, and FlexTest FastScan Scope: All modes FlexTest Scope: Setup and Drc modes Prerequisites: This command can only operate on the flattened simulation model
of the design. The design flattening happens when you first attempt to exit Setup mode, or when you issue the Flatten Model command.
Usage
ADD DIsplay Scanpath chain_name [
SCI | begin_cell_position] [SCO |
end_cell_position]
DFTInsight Menu Path:
Display > Additions: ScanPath
Description
Displays all the associated gates between two positions in a scan chain. The Add Display Scanpath command creates a netlist containing either all the
gates or a subset of gates in a scan chain. If you already have invoked DFTInsight on a flattened design, the viewer automatically displays the graphical representation of the netlist and also marks key instances in the schematic view. Otherwise (if licensed), DFTInsight is automatically invoked on the netlist.
You can specify a particular subset of a scan chain by indicating the beginning cell position and the ending cell position within the scan chain. By default, the command uses the scan chain primary input (SCI) and the scan chain primary output (SCO).
You can display a list of all the currently defined scan chains by using the Report
Scan Chains command.
FastScan and FlexTest Reference Manual, V8.6_4
2-63
Add Display Scanpath Command Dictionary
When DFTInsight generates a large schematic, it may take several minutes. You can terminate a lengthy generation by entering Control-C in the DFTInsight window. This causes the display to revert back to the previously viewed schematic. If you enter Control-C multiple times, the first Control-C terminates the schematic generation as described; DFTI traps and discards all others.
Arguments
chain_name
A required string specifying the name of the scan chain that you want to display in the DFTInsight schematic viewer. The scan chain must be a currently-defined scan chain.
SCI
An optional literal that causes DFTI to begin the scan chain display with the primary input gate of the chain_name. The primary input gate connects to the scan chain cell whose cell number equals the total number of scan cells minus one. This is the default.
begin_cell_position
An optional integer that specifies the position in a scan cell of the first cell that you want to display. The cell position must be an integer where 0 is the scan cell closest to the scan-out pin. You can determine the position of a cell within a scan chain by using the Report Scan Cells command.
SCO
An optional literal that causes DFTI to end the scan chain display with the primary output gate of the chain_name. The primary output gate connects to the scan chain cell whose cell number is 0. This is the default.
end_cell_position
An optional integer that specifies the position in a scan cell of the last cell that you want to display. The cell position must be an integer where 0 is the scan cell closest to the scan-out pin. You can determine the position of a cell within a scan chain by using the Report Scan Cells command.
2-64
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Display Scanpath
Examples
The following example invokes DFTInsight, then displays a portion of a scan chain from its primary input gate to its eighth cell from the scan chain output:
open schematic viewer add display scanpath chain1 sci 8
The next example displays the logic between the last scan cell and the scan chain output pin:
add display scanpath chain1 0 sco
Related Commands
Add Scan Chains Report Scan Cells
Report Scan Chains
FastScan and FlexTest Reference Manual, V8.6_4
2-65
Add Faults Command Dictionary

Add Faults

Tools Supported: FastScan and FlexTest Scope: Atpg, Fault, and Good modes
Usage
For FastScan
Path Delay Faults Usage:
ADD FAults {object_pathname ... | -All} [-Both | -Rise | -Fall]
Stuck/Toggle/Iddq Faults Usage:
ADD FAults {object_pathname ... | -All} [-Stuck_at {
For FlexTest
ADD FAults {object_pathname... | -All} [-Stuck_at {01 | 0 | 1}]
01 | 0 | 1}]
Description
Adds faults into the current fault list. The Add Faults command adds faults to the current fault list, discards all patterns
in the current test pattern set, and sets all faults to undetected (actual category is UC). When you enter the Setup mode, the tool deletes all faults from the current fault list. Furthermore, if you change the fault type, the tool deletes all faults.
The tool only adds one instance of any given fault, ignoring any duplicate faults.
Arguments
object_pathname
A repeatable string specifying pins, instances, or delay paths whose faults the tool adds to the current fault list.
-All
A switch specifying that the tool add all of the faults on all model, netlist primitive, and top module pins.
2-66
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Faults
-Stuck_at 01 | 0 | 1
An optional switch and literal pair that specifies which stuck-at faults to add to the fault list. The stuck-at values are as follows:
01 — A literal specifying that the tool add both the “stuck-at-0” and “stuck­at-1” faults. This is the default.
0 — A literal specifying that the tool add only the “stuck-at-0” faults. 1 — A literal specifying that the tool add only the “stuck-at-1” faults.
-Both | -Rise | -Fall (FastScan only)
An optional switch that specifies which faults to add for each path already added via the Add Paths command. These switches are used for path delay faults only.
Both - An optional switch the specifies to add both the slow to rise and
­slow to fall faults. This is the default.
-Rise - An optional switch that specifies to add only the slow to rise faults.
-Fall - An optional switch that specifies to add only the slow to fall faults.
Examples
The following example adds all faults to the circuit so that you can run the ATPG process:
set system mode atpg add faults -all run
Related Commands
Delete Faults Load Faults Report Faults
Set Fault Mode Set Fault Type Write Faults
Report Testability Data
FastScan and FlexTest Reference Manual, V8.6_4
2-67
Add Iddq Constraints Command Dictionary

Add Iddq Constraints

Tools Supported: FastScan and FlexTest FastScan Scope: Setup mode FlexTest Scope: All modes
Usage
ADD IDdq Constraints {C0 | C1 | CZ} pinname... [-Model modelname]
Description
Sets constraints for generation or selection of IDDQ patterns. Use the Add IDDQ Constraints command when you need constraints for either
IDDQ test generation or pattern selection.
(FastScan Only): After using the Add IDDQ Constraints command to set your design constraints, you must use the Set Iddq
Note
Some CMOS models have some states for which they draw a quiescent current. You can use the Add Iddq Constraints command to prevent these undesirable states during the IDDQ measurement.
For test generation, you specify that the tool create patterns to detect the IDDQ faults by using the Set Fault Type command. For pattern selection, you use the Select IDDQ Patterns command.
Arguments
Checks -Atpg command to ensure IDDQ restrictions are applied during test generation.
C0
A literal that restricts the pinname to a constant zero state.
C1
A literal that restricts the pinname to a constant one state.
CZ
A literal that restricts the pinname to a high-impedance state.
2-68
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Iddq Constraints
pinname
A required repeatable string that specifies the internal pin path where you want to place the constraint.
-Model modelname
An optional switch and string pair that specifies the DFT library model of which the pinname argument is a pin.
Examples
The following example restricts the specified internal pin to a zero state:
set fault type iddq add iddq constraints c0 /mx1/or1/n2/en
Related Commands
Delete Iddq Constraints Report Gates Report Iddq Constraints
Set Fault Type Set Gate Report Set Iddq Checks
FastScan and FlexTest Reference Manual, V8.6_4
2-69
Add Initial States Command Dictionary

Add Initial States

Tools Supported: FlexTest Scope: Setup mode
Usage
ADD INitial States {0 | 1 | X} instance_pathname...
Description
Specifies an initial state for the selected sequential instance. You can also initialize states using the test_setup procedure within the test
procedure file. The problem with using the test_setup procedure is that it always applies a force operation (even when there is no force statement), which can destroy the initial state you just set.
If you use both the test_setup procedure and the Add Initial States command, FlexTest overrides the states after the test_setup procedure with the state you specify in the Add Initial States command.
FlexTest does not use the information that you specify with the Add Initial States command during the rules checking process.
Arguments
0
A literal that initializes the instance to a low state.
1
A literal that initializes the instance to a high state.
X
A literal that initializes the instance to an unknown value.
instance_pathname
A required repeatable string specifying the name of a design hierarchical instance. You cannot specify a DFT library hierarchical instance name. You can specify the whole circuit by entering “/”.
2-70
FastScan and FlexTest Reference Manual, V8.6_4
Command Dictionary Add Initial States
Examples
The following example initializes two flip flop instances to a low state:
add initial state 0 /amm/g30/ff0 /amm/g29/ff0
Related Commands
Delete Initial States Report Initial States
Write Initial States
FastScan and FlexTest Reference Manual, V8.6_4
2-71
Add LFSR Connections Command Dictionary

Add LFSR Connections

Tools Supported: FastScan Scope: Setup mode
Usage
ADD LFsr Connections primary_pin lfsr_name position...
Description
Connects an external pin to a Linear Feedback Shift Register (LFSR). The Add LFSR Connections command connects a core logic pin to an LFSR. You
specify this pin with the primary_pin argument. LFSR bit positions have integer numbers, where 0 indicates the least significant bit position. FastScan assumes that the output of the 0 bit position connects to the input of the highest bit position. If you select multiple bits of a Pseudo-Random Pattern Generator (PRPG) for the position argument, the tool assumes they are all exclusive-ORed together to create the value for the pin.
If you determine that multiple primary_pins must connect to a bit position of a Multiple Input Signature Register (MISR), you must issue a separate Add LFSR Connections command for each pin. FastScan assumes the pins are all exclusive­ORed together to create the value for the next MISR input. FastScan also assumes that the physical placement of the MISR connections is after the tapping points as shown in Figure 2-1.
SR SR
IN
MISRTapping Point
Figure 2-1. MISR placement
You can use the Report LFSRs command to display all the LFSRs with their current values and tap positions.
You use this command primarily for simulating Built-In Self Test (BIST) circuitry.
2-72
FastScan and FlexTest Reference Manual, V8.6_4
Loading...