This document is primarily concerned with the PowerPC™
MPC7448. The MPC7448 is an implementation of the
PowerPC microprocessor family of reduced instruction set
computer (RISC) microprocessors. This document describes
pertinent electrical and physical characteristics of the
MPC7448. For information regarding specific MPC7448
part numbers covered by this document and part numbers
covered by other documents, refer to Section 11, “Part
Numbering and Marking.” For functional characteristics of
the processor, refer to the MPC7450 RISC Microprocessor Family Reference Manual.
To locate any published updates for this document, refer to
the website listed on the back cover of this document.
1Overview
The MPC7448 is the sixth implementation of fourthgeneration (G4) microprocessors from Freescale. The
MPC7448 implements the full PowerPC 32-bit architecture
and is targeted at networking and computing systems
applications. The MPC7448 consists of a processor core and
a 1-Mbyte L2.
Figure 1 shows a block diagram of the MPC7448. The core
is a high-performance superscalar design supporting a
double-precision floating-point unit and a SIMD multimedia
unit. The memory storage subsystem supports the MPX bus
protocol and a subset of the 60x bus protocol to main
memory and other system resources.
This section summarizes features of the MPC7448 implementation of the PowerPC architecture.
Major features of the MPC7448 are as follows:
•High-performance, superscalar microprocessor
— Up to four instructions can be fetched from the instruction cache at a time.
— Up to three instructions plus a branch instruction can be dispatched to the issue queues at a
time.
— Up to 12 instructions can be in the instruction queue (IQ).
— Up to 16 instructions can be at some stage of execution simultaneously.
— Single-cycle execution for most instructions
— One instruction per clock cycle throughput for most instructions
— Seven-stage pipeline control
•Eleven independent execution units and three register files
— Branch processing unit (BPU) features static and dynamic branch prediction
of branch instructions that have been encountered in branch/loop code sequences. If a target
instruction is in the BTIC, it is fetched into the instruction queue a cycle sooner than it can
be made available from the instruction cache. T ypically, a fetch that hits the BTIC provides
the first four instructions in the target stream.
– 2048-entry branch history table (BHT) with 2 bits per entry for four levels of
prediction—not taken, strongly not taken, taken, and strongly taken
– Up to three outstanding speculative branches
– Branch instructions that do not update the count register (CTR) or link register (LR) are
often removed from the instruction stream.
– Eight-entry link register stack to predict the target address of Branch Conditional to Link
Register (bclr) instructions
Features
— Four integer units (IUs) that share 32 GPRs for integer operands
– Three identical IUs (IU1a, IU1b, and IU1c) can execute all integer instructions except
multiply, divide, and move to/from special-purpose register instructions.
– IU2 executes miscellaneous instructions, including the CR logical operations, integer
multiplication and division instructions, and move to/from special-purpose register
instructions.
— Five-stage FPU and 32-entry FPR file
– Fully IEEE 754-1985–compliant FPU for both single- and double-precision operations
– Supports non-IEEE mode for time-critical operations
– Hardware support for denormalized numbers
– Thirty-two 64-bit FPRs for single- or double-precision operands
— Four vector units and 32-entry vector register file (VRs)
– Vector permute unit (VPU)
– Vecto r integer unit 1 (VIU1) handles short-latency AltiVec™ integer instructions, such as vector add
instructions (for example, vaddsbs, vaddshs, and vaddsws).
– Vector integer unit 2 (VIU2) handles longer-latency AltiVec integer instructions, such as vector
multiply add instructions (for example, vmhaddshs, vmhraddshs, and vmladduhm).
– Vector floating-point unit (VFPU)
— Three-stage load/store unit (LSU)
– Supports integer, floating-point, and vector instruction load/store traffic
– Four-entry vector touch queue (VTQ) supports all four architected AltiVec data stream
operations
– Three-cycle GPR and AltiVec load latency (byte, half word, word, vector) with one-cycle
throughput
– Four-cycle FPR load latency (single, double) with one-cycle throughput
– No additional delay for misaligned access within double-word boundary
– A dedicated adder calculates effective addresses (EAs).
– Supports store gathering
– Performs alignment, normalization, and precision conversion for floating-point data
– Executes cache control and TLB instructions
– Performs alignment, zero padding, and sign extension for integer data
– Supports hits under misses (multiple outstanding misses)
– Supports both big- and little-endian modes, including misaligned little-endian accesses
•Three issue queues, FIQ, VIQ, and GIQ, can accept as many as one, two, and three instructions,
respectively, in a cycle. Instruction dispatch requires the following:
— Instructions can only be dispatched from the three lowest IQ entries—IQ0, IQ1, and IQ2.
— A maximum of three instructions can be dispatched to the issue queues per clock cycle.
— Space must be available in the CQ for an instruction to dispatch (this includes instructions that
are assigned a space in the CQ but not in an issue queue).
•Dispatch unit
— Decode/dispatch stage fully decodes each instruction
•Completion unit
— Retires an instruction from the 16-entry completion queue (CQ) when all instructions ahead of
it have been completed, the instruction has finished executing, and no exceptions are pending
— Guarantees sequential programming model (precise exception model)
— Monitors all dispatched instructions and retires them in order
— Tracks unresolved branches and flushes instructions after a mispredicted branch
— Retires as many as three instructions per clock cycle
•Separate on-chip L1 instruction and data caches (Harvard architecture)
— 32-Kbyte, eight-way set-associative instruction and data caches
— Pseudo least-recently-used (PLRU) replacement algorithm
— 32-byte (eight-word) L1 cache block
— Physically indexed/physical tags
— Cache write-back or write-through operation programmable on a per-page or per-block basis
— Instruction cache can provide four instructions per clock cycle; data cache can provide four
words per clock cycle
— Caches can be disabled in software.
— Caches can be locked in software.
— MESI data cache coherency maintained in hardware
— Separate copy of data cache tags for efficient snooping
— Parity support on cache
— No snooping of instruction cache except for icbi instruction
Features
— Data cache supports AltiVec LRU and transient instructions
— Critical double- and/or quad-word forwarding is performed as needed. Critical quad-word
forwarding is used for AltiVec loads and instruction fetches. Other accesses use critical
double-word forwarding.
•Level 2 (L2) cache interface
— On-chip, 1-Mbyte, eight-way set-associative unified instruction and data cache
— Cache write-back or write-through operation programmable on a per-page or per-block basis
— Parity support on cache tags
— ECC or parity support on data
— Error injection allows testing of error recovery software
•Separate memory management units (MMUs) for instructions and data
— 52-bit virtual address, 32- or 36-bit physical address
— Address translation for 4-Kbyte pages, variable-sized blocks, and 256-Mbyte segments
— Memory programmable as write-back/write-through, caching-inhibited/caching-allowed, and
memory coherency enforced/memory coherency not enforced on a page or block basis
— Separate IBATs and DBATs (eight each) also defined as SPRs
— Separate instruction and data translation lookaside buffers (TLBs)
– Both TLBs are 128-entry, two-way set-associative and use an LRU replacement algorithm.
– TLBs are hardware- or software-reloadable (that is, a page table search is performed in
•Efficient data flow
— Although the VR/LSU interface is 128 bits, the L1/L2 bus interface allows up to 256 bits.
— The L1 data cache is fully pipelined to provide 128 bits/cycle to or from the VRs.
— The L2 cache is fully pipelined to provide 32 bytes per clock every other cycle to the L1 caches.
— As many as 16 out-of-order transactions can be present on the MPX bus.
— Store merging for multiple store misses to the same line. Only coherency action taken
(address-only) for store misses merged to all 32 bytes of a cache block (no data tenure needed).
— Three-entry finished store queue and five-entry completed store queue between the LSU and
the L1 data cache
— Separate additional queues for efficient buffering of outbound data (such as castouts and
write-through stores) from the L1 data cache and L2 cache
•Multiprocessing support features include the following:
— Hardware-enforced, MESI cache coherency protocols for data cache
— Load/store with reservation instruction pair for atomic memory references, semaphores, and
other multiprocessor operations
•Power and thermal management
— Dynamic frequency switching (DFS) feature allows processor core frequency to be halved or
quartered through software to reduce power consumption.
— The following three power-saving modes are available to the system:
– Nap—Instruction fetching is halted. Only the clocks for the time base, decrementer, and
JTAG logic remain running. The part goes into the doze state to snoop memory operations
on the bus and then back to nap using a QREQ/QACK processor-system handshake
protocol.
– Sleep—Power consumption is further reduced by disabling bus snooping, leaving only the
PLL in a locked and running state. All internal functional units are disabled.
– Deep sleep—When the part is in the sleep state, the system can disable the PLL. The system
can then disable the SYSCLK source for greater system power savings. Power-on reset
procedures for restarting and relocking the PLL must be followed upon exiting the deep
sleep state.
— Instruction cache throttling provides control of instruction fetching to limit device temperature.
— A new temperature diode that can determine the temperature of the microprocessor
— Support for core voltage derating to further reduce power consumption
•Performance monitor can be used to help debug system designs and improve software efficiency.
•In-system testability and debugging features through JTAG boundary-scan capability
Comparison with the MPC7447A, MPC7447, MPC7445, and MPC7441
•Reliability and serviceability
— Parity checking on system bus
— Parity checking on the L1 caches and L2 data tags
— ECC or parity checking on L2 data
3Comparison with the MPC7447A, MPC7447, MPC7445,
and MPC7441
Table 1 compares the key features of the MPC7448 with the key features of the earlier MPC7447A,
MPC7447, MPC7445, and MPC7441. All are based on the MPC7450 RISC microprocessor and are
architecturally very similar. The MPC7448 is identical to the MPC7447A, but the MPC7448 supports 1
Mbyte of L2 cache with ECC and the use of dynamic frequency switching (DFS) with more bus-to-core
ratios.
The following list summarizes the general parameters of the MPC7448:
Technology90 nm CMOS SOI, nine-layer metal
Die size8.0 mm × 7.3 mm
Transistor count90 million
Logic designMixed static and dynamic
PackagesSurface mount 360 ceramic ball grid array (HCTE)
Surface mount 360 ceramic land grid array (HCTE)
Surface mount 360 ceramic ball grid array with lead-free spheres (HCTE)
Core power supply1.30 V (1700 MHz device)
1.25 V (1600 MHz device)
1.20 V (1420 MHz device)
1.15 V (1000 MHz device)
I/O power supply1.5 V, 1.8 V, or 2.5 V
5Electrical and Thermal Characteristics
This section provides the AC and DC electrical specifications and thermal characteristics for the
MPC7448.
5.1DC Electrical Characteristics
The tables in this section describe the MPC7448 DC electrical characteristics. Table 2 provides the
absolute maximum ratings. See Section 9.2, “Power Supply Design and Sequencing” for power
sequencing requirements.
Table 2. Absolute Maximum Ratings
CharacteristicSymbolMaximum ValueUnitNotes
Core supply voltageV
PLL supply voltageAV
Processor bus supply voltageI/O Voltage Mode = 1.5 VOV
I/O Voltage Mode = 1.8 V–0.3 to 2.23
I/O Voltage Mode = 2.5 V–0.3 to 3.03
Input voltageProcessor busV
JTAG signalsV
Storage temperature rangeT
Notes:
1. Functional and tested operating conditions are given in Ta b le 4 . Absolute maximum ratings are stress ratings only and
functional operation at the maximums is not guaranteed. Stresses beyond those listed may affect device reliability or cause
permanent damage to the device.
2. See Section 9.2, “Power Supply Design and Sequencing” for power sequencing requirements.
3. Bus must be configured in the corresponding I/O voltage mode; see Ta b le 3 .
4. Caution: Vin must not exceed OV
the overshoot specifications. V
by more than 0.3 V at any time including during power-on reset except as allowed by
DD
may overshoot/undershoot to a voltage and for a maximum duration as shown in Figure 2.
Figure 2 shows the undershoot and overshoot voltage on the MPC7448.
OVDD + 20%
OVDD + 5%
OV
DD
V
IH
V
IL
GND
GND – 0.3 V
GND – 0.7 V
Not to Exceed 10%
of t
SYSCLK
Figure 2. Overshoot/Undershoot Voltage
The MPC7448 provides several I/O voltages to support both compatibility with existing systems and
migration to future systems. The MPC7448 core voltage must always be provided at the nominal voltage
(see Table 4) or at the supported derated voltage (see Section 5.3, “Voltage and Frequency Derating”). The
input voltage threshold for each bus is selected by sampling the state of the voltage select pins at the
negation of the signal HRESET . The output voltage will swing from GND to the maximum voltage applied
to the OVDD power pins. Table 3 provides the input threshold voltage settings. Because these settings may
change in future products, it is recommended that BVSEL[0:1] be configured using resistor options,
jumpers, or some other flexible means, with the capability to reconfigure the termination of this signal in
the future, if necessary.
Table 3. Input Threshold Voltage Setting
BVSEL0BVSEL1I/O Voltage Mode
001.8 V2, 3
012.5 V2, 4
101.5 V2
112.5 V4
Notes:
1. Caution: The I/O voltage mode selected must agree with the OV
supplied. See Ta bl e 4 .
2. If used, pull-down resistors should be less than 250 Ω.
3. The pin configuration used to select 1.8V mode on the MPC7448 is not compatible
with the pin configuration used to select 1.8V mode on the MPC7447A and earlier
devices.
4. The pin configuration used to select 2.5V mode on the MPC7448 is fully compatible
with the pin configuration used to select 2.5V mode on the MPC7447A and earlier
devices.
Table 4 provides the recommended operating conditions for the MPC7448 part numbers described by this
document; see Section 11.1, “Part Numbers Fully Addressed by This Document,” for more information.
See Section 9.2, “Power Supply Design and Sequencing” for power sequencing requirements.
NOTE
Table 4 describes the nominal operating conditions of the device. For
information on the operation of the device at supported derated core voltage
conditions, see Section 5.3, “Voltage and Frequency Derating.”
Table 4. Recommended Operating Conditions
CharacteristicSymbol
Recommended Value
1000 MHz1420 MHz1600 MHz1700 MHz
MinMaxMinMaxMinMaxMinMax
1
Unit Notes
Core supply voltageV
1.15 V ± 50 mV 1.2 V ± 50 mV 1.25 V ± 50 mV1.3 V +20/
DD
V3, 4, 5
–50mV
PLL supply voltageAV
1.15 V ± 50 mV 1.2 V ± 50 mV 1.25 V ± 50 mV1.3 V +20/
DD
V2, 3, 4
–50mV
Processor
bus
supply
voltage
Input
voltage
I/O Voltage Mode = 1.5 VOV
I/O Voltage Mode = 1.8 V1.8 V ± 5%1.8 V ± 5%1.8 V ± 5%1.8 V ± 5%4
I/O Voltage Mode = 2.5 V2.5 V ± 5%2.5 V ± 5%2.5 V ± 5%2.5 V ± 5%4
Processor busV
JTAG signalsV
Die-junction temperatureT
DD
in
in
j
1.5 V ± 5%1.5 V ± 5%1.5 V ± 5%1.5 V ± 5%V4
GNDOV
GNDOV
DD
DD
GNDOV
GNDOV
DD
DD
GNDOV
GNDOV
DD
DD
GNDOV
GNDOV
DD
DD
V
0105010501050105°C
Notes:
1. These are the recommended and tested operating conditions. Some speed grades in addition support voltage derating; see
Section 5.3, “Voltage and Frequency Derating.” Proper device operation outside of these conditions and those specified in
Section 5.3 is not guaranteed.
2. This voltage is the input to the filter discussed in Section 9.2.2, “PLL Power Supply Filtering,” and not necessarily the voltage at
the AV
3. V
pin, which may be reduced from VDD by the filter.
DD
and AVDD may be reduced for some speed grades in order to reduce power consumption if further maximum core
DD
frequency constraints are observed. See Section 5.3, “Voltage and Frequency Derating,” for specific information.
4. Caution: Power sequencing requirements must be met; see Section 9.2, “Power Supply Design and Sequencing”.
5. Caution: See Section 9.2.3, “Transient Specifications” for information regarding transients on this power supply.
1. Refer to Section 9.7, “Thermal Management Information,” for details about thermal management.
2. Junction temperature is a function of on-chip power dissipation, package thermal resistance, mounting site (board)
temperature, ambient temperature, airflow, power dissipation of other components on the board, and board thermal
resistance.
3. Per JEDEC JESD51-2 with the single-layer board horizontal
4. Per JEDEC JESD51-6 with the board horizontal
5. Thermal resistance between the die and the printed-circuit board per JEDEC JESD51-8. Board temperature is measured on
the top surface of the board near the package.
6. This is the thermal resistance between die and case top surface as measured by the cold plate method (MIL SPEC-883
Method 1012.1) with the calculated case temperature. The actual value of R
for the part is less than 0.1°C/W.
θJC
Table 6 provides the DC electrical characteristics for the MPC7448.
Table 6. DC Electrical Specifications
At recommended operating conditions. See Ta bl e 4 .
At recommended operating conditions. See Ta bl e 4 .
Electrical and Thermal Characteristics
Characteristic
Output high voltage @ IOH = –5 mA1.5V
Output low voltage @ I
Capacitance,
0 V, f = 1 MHz
V
=
in
Notes:
1. Nominal voltages; see Ta b le 4 for recommended operating conditions.
2. All I/O signals are referenced to OV
3. Excludes test signals and IEEE 1149.1 boundary scan (JTAG) signals
4. The leakage is measured for nominal OV
example, both OVDD and VDD vary by either +5% or –5%).
5. Capacitance is periodically sampled rather than 100% tested.
5 mA1.5V
=
OL
All inputsC
DD
Nominal Bus
Volt ag e
1.8OVDD – 0.45—
2.51.8—
1.8—0.45
2.5—0.6
.
and VDD, or both OVDD and VDD must vary in the same direction (for
DD
SymbolMinMaxUnitNotes
1
OVDD – 0.45—V
OH
OL
in
—0.45V
—8.0pF5
Table 7 provides the power consumption for the MPC7448 part numbers described by this document; see
Section 11.1, “Part Numbers Fully Addressed by This Document,” for more information. The MPC7448
RISC Microprocessor Hardware Specifications presents guidelines on the use of these parameters for
system design. For information on power consumption when dynamic frequency switching is enabled, see
Section 9.7.5, “Dynamic Frequency Switching (DFS).” Several power specifications are provided for
Full-Power mode. The Nominal – T ypical value represents the sustained power consumption of the device
when running a typical benchmark at temperatures in a typical system. The Nominal – Thermal value is
intended to represent the sustained power consumption of the device when running a typical code sequence
at high temperature and is recommended to be used as the basis for designing a thermal solution; see
Section 9.7, “Thermal Management Information” for more information on thermal solutions. The
Maximum value is recommended to be used for power supply design because this represents the maximum
peak power draw of the device that a power supply must be capable of sourcing without voltage droop.
NOTE
The power consumption information in this table applies when the device
operates at the nominal core voltage indicated in Table 4. For power
consumption at derated core voltage conditions, see Section 5.3, “Voltage
1. These values specify the power consumption for the core power supply (V
processor bus frequencies and configurations. The values do not include I/O supply power (OVDD) or PLL supply power
). OVDD power is system dependent but is typically < 5% of VDD power. Worst case power consumption for
(AV
DD
AVDD<13mW.
2. Typical nominal power consumption is an average value measured at the nominal recommended V
while running the Dhrystone 2.1 benchmark and achieving 2.3 Dhrystone MIPs/MHz. This parameter is not 100% tested but
periodically sampled.
3. Maximum power consumption is the average measured at nominal V
Ta bl e 4 ) while running an entirely cache-resident, contrived sequence of instructions to keep all the execution units maximally
busy.
4. Doze mode is not a user-definable state; it is an intermediate state between full-power and either nap or sleep mode. As a
result, power consumption for this mode is not tested.
5. Typical thermal power consumption is an average value measured at the nominal recommended V
105 °C while running the Dhrystone 2.1 benchmark and achieving 2.3 Dhrystone MIPs/MHz. This parameter is not 100%
tested but periodically sampled.
6. Typical power consumption for these modes is measured at the nominal recommended V
mode described. This parameter is not 100% tested but is periodically sampled.
1000 MHz1420 MHz1600 MHz1700 MHz
Deep Sleep Mode (PLL Disabled)
Processor (CPU) Frequency
Full-Power Mode
Nap Mode
Sleep Mode
DD
and maximum operating junction temperature (see
DD
UnitNotes
) at nominal voltage and apply to all valid
(see Ta bl e 4 ) and 65°C
DD
(see Ta b le 4 ) and
DD
(see Ta bl e 4 ) and 105 °C in the
DD
5.2AC Electrical Characteristics
This section provides the AC electrical characteristics for the MPC7448. After fabrication, functional parts
are sorted by maximum processor core frequency as shown in Section 5.2.1, “Clock AC Specifications,”
and tested for conformance to the AC specifications for that frequency. The processor core frequency,
determined by the bus (SYSCLK) frequency and the settings of the PLL_CFG[0:5] signals, can be
dynamically modified using dynamic frequency switching (DFS). Parts are sold by maximum processor
core frequency; see Section 11, “Part Numbering and Marking,” for information on ordering parts. DFS is
described in Section 9.7.5, “Dynamic Frequency Switching (DFS).”
Table 8 provides the clock AC timing specifications as defined in Figure 3 and represents the tested
operating frequencies of the devices. The maximum system bus frequency, f
SYSCLK
considered a practical maximum in a typical single-processor system. This does not exclude
multi-processor systems, but these typically require considerably more design effort to achieve the
maximum rated bus frequency. The actual maximum SYSCLK frequency for any application of the
MPC7448 will be a function of the AC timings of the microprocessor(s), the AC timings for the system
controller, bus loading, printed-circuit board topology , trace lengths, and so forth, and may be less than the
value given in Table 8.
NOTE
The core frequency information in this table applies when the device operates at
the nominal core voltage indicated in
Table 4. For core frequency
specifications at derated core voltage conditions, see Section 5.3, “Voltage
and Frequency Derating.”
Table 8. Clock AC Timing Specifications
At recommended operating conditions. See Ta bl e 4 .
Table 8. Clock AC Timing Specifications (continued)
At recommended operating conditions. See Ta bl e 4 .
Maximum Processor Core Frequency
CharacteristicSymbol
UnitNotes1000 MHz1420 MHz1600 MHz1700 MHz
MinMaxMinMaxMinMaxMinMax
Internal PLL relock time—100—100—100—100µs7
Notes:
1. Caution: The SYSCLK frequency and PLL_CFG[0:5] settings must be chosen such that the resulting SYSCLK (bus)
frequency, processor core frequency, and PLL (VCO) frequency do not exceed their respective maximum or minimum
operating frequencies. Refer to the PLL_CFG[0:5] signal description in Section 9.1.1, “PLL Configuration,” for valid
PLL_CFG[0:5] settings.
2. Actual maximum system bus frequency is system-dependent. See Section 5.2.1, “Clock AC Specifications.”
3. Rise and fall times for the SYSCLK input measured from 0.4 to 1.4 V
4. Timing is guaranteed by design and characterization.
5. Guaranteed by design
6. The SYSCLK driver’s closed loop jitter bandwidth should be less than 1.5 MHz at –3 dB.
7. Relock timing is guaranteed by design and characterization. PLL-relock time is the maximum amount of time required for PLL
lock after a stable V
and SYSCLK are reached during the power-on reset sequence. This specification also applies when
DD
the PLL has been disabled and subsequently re-enabled during sleep mode. Also note that HRESET must be held asserted
for a minimum of 255 bus clocks after the PLL-relock time during the power-on reset sequence.
8. This reflects the maximum and minimum core frequencies when the dynamic frequency switching feature (DFS) is disabled.
f
core_DFS
provides the maximum and minimum core frequencies when operating in a DFS mode.
9. Caution: These values specify the maximum processor core and VCO frequencies when the device is operated at the
nominal core voltage. If operating the device at the derated core voltage, the processor core and VCO frequencies must be
reduced. See Section 5.3, “Voltage and Frequency Derating,” for more information.
10.This specification supports the Dynamic Frequency Switching (DFS) feature and is applicable only when one of the DFS
modes (divide-by-2 or divide-by-4) is enabled. When DFS is disabled, the core frequency must conform to the maximum and
minimum frequencies stated for f
core
.
11.Use of the DFS feature does not affect VCO frequency.
Table 9. Processor Bus AC Timing Specifications1 (continued)
At recommended operating conditions. See Ta bl e 4 .
ParameterSymbol
All Speed Grades
2
MinMax
UnitNotes
SYSCLK to output high impedance (all except TS, ARTRY, SHD0,
)
SHD1
SYSCLK to TS
high impedance after precharget
Maximum delay to ARTRY/SHD0/SHD1 precharget
SYSCLK to ARTRY/SHD0/SHD1 high impedance after
t
KHOZ
KHTSPZ
KHARP
t
KHARPZ
—1.8ns5
—1t
—1t
—2t
SYSCLK
SYSCLK
SYSCLK
3, 4, 5
3, 5, 6, 7
3, 5, 6, 7
precharge
Notes:
1. All input specifications are measured from the midpoint of the signal in question to the midpoint of the rising edge of the input
SYSCLK. All output specifications are measured from the midpoint of the rising edge of SYSCLK to the midpoint of the signal
in question. All output timings assume a purely resistive 50-Ω load (see Figure 4). Input and output timings are measured at
the pin; time-of-flight delays must be added for trace lengths, vias, and connectors in the system.
2. The symbology used for timing specifications herein follows the pattern of t
t
(reference)(state)(signal)(state)
for outputs. For example, t
symbolizes the time input signals (I) reach the valid state (V) relative
IVKH
to the SYSCLK reference (K) going to the high (H) state or input setup time. And t
(signal)(state)(reference)(state)
symbolizes the time from SYSCLK(K)
KHOV
for inputs and
going high (H) until outputs (O) are valid (V) or output valid time. Input hold time can be read as the time that the input signal
(I) went invalid (X) with respect to the rising clock edge (KH) (note the position of the reference and its state for inputs) and
output hold time can be read as the time from the rising edge (KH) until the output went invalid (OX).
3. t
is the period of the external clock (SYSCLK) in ns. The numbers given in the table must be multiplied by the period of
sysclk
SYSCLK to compute the actual time duration (in ns) of the parameter in question.
4. According to the bus protocol, TS
before returning to high impedance, as shown in Figure 6. The nominal precharge width for TS is t
is driven only by the currently active bus master. It is asserted low and precharged high
, that is, one clock
SYSCLK
period. Since no master can assert TS on the following clock edge, there is no concern regarding contention with the
precharge. Output valid and output hold timing is tested for the signal asserted. Output valid time is tested for precharge.The
high-impedance behavior is guaranteed by design.
5. Guaranteed by design and not tested
6. According to the bus protocol, ARTRY
. Bus contention is not an issue because any master asserting ARTRY will be driving it low. Any master asserting it low
AACK
can be driven by multiple bus masters through the clock period immediately following
in the first clock following AACK will then go to high impedance for a fraction of a cycle, then negated for up to an entire cycle
(crossing a bus cycle boundary) before being three-stated again. The nominal precharge width for ARTRY is 1.0 t
that is, it should be high impedance as shown in Figure 6 before the first opportunity for another master to assert ARTRY
SYSCLK
;
.
Output valid and output hold timing is tested for the signal asserted.The high-impedance behavior is guaranteed by design.
7. According to the MPX bus protocol, SHD0
and SHD1 can be driven by multiple bus masters beginning two cycles after TS.
Timing is the same as ARTRY, that is, the signal is high impedance for a fraction of a cycle, then negated for up to an entire
cycle (crossing a bus cycle boundary) before being three-stated again. The nominal precharge width for SHD0 and SHD1 is
1.0 t
8. BMODE
BVSEL[0:1] are sampled before HRESET
. The edges of the precharge vary depending on the programmed ratio of core to bus (PLL configurations).
SYSCLK
[0:1] and BVSEL[0:1] are mode select inputs. BMODE[0:1] are sampled before and after HRESET negation.
negation. These parameters represent the input setup and hold times for each
sample. These values are guaranteed by design and not tested. BMODE[0:1] must remain stable after the second sample;
BVSEL[0:1] must remain stable after the first (and only) sample. See Figure 5 for sample timing.